Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Support Chisel6 for RTL-sim/VLSI/FPGA flows #1854

Merged
merged 17 commits into from
May 13, 2024
Merged
Changes from 1 commit
Commits
File filter

Filter by extension

Filter by extension

Conversations
Failed to load comments.
Loading
Jump to
Jump to file
Failed to load files.
Loading
Diff view
Diff view
Prev Previous commit
Next Next commit
Update chisel6 chipyard-stage API
  • Loading branch information
jerryz123 committed May 13, 2024
commit 2be92bc49d4b4171b338d1ae4def97130a2c21b8
4 changes: 2 additions & 2 deletions tools/stage/src/main/scala/ChipyardStage.scala
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@

package chipyard.stage

import circt.stage.{ChiselStage}
import circt.stage.{ChiselStage, CIRCTTargetAnnotation, CIRCTTarget}
import firrtl.options.PhaseManager.PhaseDependency
import firrtl.options.{Shell}
import firrtl.{AnnotationSeq}
Expand Down Expand Up @@ -31,7 +31,7 @@ final class ChipyardChiselStage extends ChiselStage {
Dependency[firrtl.stage.phases.Checks]
)
)
pm.transform(annotations)
pm.transform(annotations :+ CIRCTTargetAnnotation(CIRCTTarget.CHIRRTL))
}
}

Expand Down