Skip to content
Open
Show file tree
Hide file tree
Changes from all commits
Commits
Show all changes
95 commits
Select commit Hold shift + click to select a range
bc1867f
[opentitanlib] move `set_break` from `ConsoleDevice` to `Uart`
nbdd0121 Nov 20, 2025
7d5434a
[opentitanlib] add a console broadcasting utility
nbdd0121 Nov 17, 2025
bb16e6d
[opentitanlib] implement `Uart` for `&impl Uart` and `Rc<impl Uart>`
nbdd0121 Nov 20, 2025
ae5de8b
[opentitanlib] small code style improvement
nbdd0121 Nov 20, 2025
e5aac31
[opentitanlib] remove `logfile` feature of `UartConsole`
nbdd0121 Nov 20, 2025
70ac48f
[opentitanlib] change parameter on `UartConsole` to boolean quiet flag
nbdd0121 Nov 21, 2025
c4f9ee4
[tests, spi_device] Enable the tpm test on ci
engdoreis Nov 11, 2025
a354b19
[test, spi_device] Update the tpm test to verify hw registers
engdoreis Nov 10, 2025
2008755
[opentitantool] Correct the SHA256 hash ordering
cfrantz Jan 22, 2025
f121ac5
[hsmtool] Add tests
cfrantz Jan 22, 2025
9ba2aa8
[rom] Make the DEV key valid in TEST and RMA
cfrantz Jan 21, 2025
55e7024
[rom] Correct the hash order for SPX verify
cfrantz Jan 21, 2025
fa8f417
[opentitanlib] remove public `timestamp` field from `UartConsole`.
nbdd0121 Nov 26, 2025
5d27e67
[opentitanlib] add dedicated time out error for console
nbdd0121 Nov 26, 2025
511b5bd
[opentitanlib] add console logging middleware to log to output while …
nbdd0121 Nov 20, 2025
85287b4
[opentitanlib] add a `wait_for_line` method to `ConsoleExt`
nbdd0121 Nov 19, 2025
909f8d7
[opentitanproxy] change uart to be polling
nbdd0121 Nov 26, 2025
e68942b
[opentitanproxy] use a tokio task for receiving messages
nbdd0121 Sep 4, 2025
1984ab9
[opentitanproxy] use proper async in `Connection` handling in proxy s…
nbdd0121 Nov 26, 2025
e8ba2b6
[opentitanproxy] ensure `Connection` only stays on the main thread
nbdd0121 Nov 26, 2025
22d470a
[opentitanproxy] add back the ability to broadcast UART
nbdd0121 Nov 26, 2025
ced14d3
[opentitanproxy] use waker mechanism to achieve true async
nbdd0121 Nov 26, 2025
d9ef400
[opentitantool] add `console::Buffered` to have user-side buffering
nbdd0121 Nov 26, 2025
fbfb461
[opentitanlib] add a `regex` utility macro for regex literals
nbdd0121 Nov 26, 2025
1279b0a
[opentitanlib] move capture handling to `ConsoleExt`
nbdd0121 Nov 26, 2025
ad8651c
[opentitanlib] allow matching of two regexes with `wait_for_line`
nbdd0121 Nov 26, 2025
f650c80
[opentitanlib] add `logged` method to the `console` extension trait
nbdd0121 Nov 27, 2025
547c51b
[opentitanlib] make use of the new console APIs
nbdd0121 Nov 27, 2025
e914b03
[ot_transport] add `fpga_ops` and make bitstream methods part of it
nbdd0121 Nov 27, 2025
713f70b
[opentitanproxy] support bitstream programming over proxy
nbdd0121 Nov 27, 2025
3be89ed
[csrng/rtl] Add unified CTR_DRBG data path module
glaserf Oct 29, 2025
e1c90ea
[csrng/rtl] Instantiate the unified CTR_DRBG module
glaserf Oct 31, 2025
9d73248
[csrng] Update IP description, regfile, and doc
glaserf Nov 7, 2025
e71d229
[csrng/dv] Align dv with RTL changes
glaserf Nov 13, 2025
8cc44f9
[csrng/dif] Align dif with regfile and RTL changes
glaserf Nov 21, 2025
7c36cf2
[dv/push_pull] Make sure that ack_o is always reset
glaserf Nov 24, 2025
b650d4e
[fpv,darjeeling] Fix errors in top_darjeeling_fpv_prim_cfgs.hjson
rswarbrick Sep 4, 2025
12ff63a
[fpv] Add a "params" item to common_fpv_cfg.hjson
rswarbrick Sep 4, 2025
872b098
[fpv,darjeeling] Teach rxtx alert checks to support SkewCycles = 3
rswarbrick Sep 4, 2025
a84a82a
[dv] Use correct uvm_object_utils macro for mubi32_cov
rswarbrick Nov 15, 2025
f24c20a
[tests] run E2E orchestrator tests on all SKUs
timothytrippel Jan 17, 2025
9782de1
[manuf] remove duplicate tests and config files
timothytrippel Jan 17, 2025
0e46cfe
[orchestrator] fix bug in device ID update
timothytrippel Jan 17, 2025
7ac1352
[otbn,doc] Add table of instruction encodings for OTBN instructions
etterli Oct 16, 2025
1b5e3f9
[otbn,doc] Fix instruction link generation
etterli Nov 18, 2025
7e46054
[otbn,doc] Improve OTBN documentation
etterli Nov 18, 2025
d3f7bc7
[dv] Use the correct UVM macro in kmac_app_sequencer
rswarbrick Nov 15, 2025
113b307
[dv] Extract bit_toggle_cg_wrap from dv_base_env_cov
rswarbrick Nov 15, 2025
a61d794
[rv_timer,dv] Make tracking interrupt coverage simpler
rswarbrick Nov 15, 2025
e259f6f
[gpio,dv] Make tracking interrupt coverage cleaner
rswarbrick Nov 15, 2025
ed3eee8
[tl,dv] Simplify creation of a coverage wrapper in tl_agent_cov
rswarbrick Nov 15, 2025
5a776ea
[dv] Get rid of unused path arg to bit_toggle_cg_wrap constructor
rswarbrick Nov 15, 2025
7607bee
[dv] Tiny tidy-up in dv_base_env_cov
rswarbrick Nov 15, 2025
98a794b
[dv] Make bit_toggle_cg_wrap name compulsory
rswarbrick Nov 15, 2025
946d1a4
[dv] Make dv_base_reg_block::compute_csr_addrs idempotent
rswarbrick Mar 14, 2025
03291c9
[dv] Make dv_base_reg_block::compute_mem_addr_ranges idempotent
rswarbrick Mar 14, 2025
12021fa
[dv] Make dv_base_reg_block::compute_mapped_addr_ranges idempotent
rswarbrick Mar 14, 2025
e7e42d1
[dv] Make dv_base_reg_block::compute_unmapped_addr_ranges idempotent
rswarbrick Mar 14, 2025
6b5bcbe
[dv] Move compute_*mapped_addr_ranges into set_base_addr
rswarbrick Mar 14, 2025
8f50f83
[dv] Make dv_base_env_cfg::create_ral_models safe to call twice
rswarbrick Mar 14, 2025
3750bea
[rom] fix bug in ROM that computes OTP measurements
timothytrippel Jan 22, 2025
4a90891
[rom_ext] Update the ROM_EXT minor version
cfrantz Jan 24, 2025
0a30e74
[rom_e2e] Add tests for a bad immutable section
cfrantz Jan 23, 2025
3677539
[rom] Examine the immutable section and skip if invalid
cfrantz Jan 23, 2025
1bd3ff8
[alert,dv] Slightly restructure alert and esc monitor run_phases
rswarbrick Nov 5, 2025
137bf7e
[alert,dv] Substantial tweaks in alert_monitor
rswarbrick Nov 16, 2025
a77fe35
[orchestrator] enable overriding package ID via CLI
timothytrippel Jan 23, 2025
c58c583
[tests] remove duplicate test behavior
timothytrippel Jan 23, 2025
f708b59
[orchestrator] re-enable the multistage test
timothytrippel Jan 23, 2025
9a3c65d
[testutils] increase OTP programming timeout to 10ms
timothytrippel Jan 23, 2025
8fa1511
[rom] Update clkmgr jitter enable to match ROM specification.
moidx Jan 27, 2025
0492812
[manuf] add weak OTP operations hooks
timothytrippel Jan 23, 2025
ca601c3
[manuf] remove printing of flash data in FT individualize
timothytrippel Jan 28, 2025
b185ff2
[manuf] use GPIO indicators during OTP operations
timothytrippel Jan 28, 2025
01d3ba8
[dv] Move en_scb_tl_err_chk to cip_base_env_cfg
rswarbrick Sep 3, 2025
2d67f3f
[dv] Move en_scb_ping_chk to cip_base_env_cfg
rswarbrick Sep 3, 2025
b1c9b8a
[dv] Move will_reset functionality to cip_base_env_cfg
rswarbrick Sep 3, 2025
2bb1721
[dv] Move use_jtag_dmi to chip_env_cfg
rswarbrick Sep 3, 2025
57f3b11
[dv] Reorder dv_base_env_cfg and add documentation comments
rswarbrick Sep 3, 2025
2285c94
[otlib] Use transport reset function in legacy bootstrap
jwnrt Oct 17, 2025
ad52613
[otlib] Split reset function in two
jwnrt Oct 17, 2025
5215eda
[otlib] Allow reset delay to be configured in files
jwnrt Oct 17, 2025
c05b771
[otlib] Deprecate CLI flag for setting reset delay
jwnrt Oct 17, 2025
67828ff
[sw,silicon_creator] Move key seed retrieval function to top specific…
sameo Oct 6, 2025
ffc9382
[dt,otp] Rename misleadingly name field and its documentation
pamaury Nov 20, 2025
e8e1941
[silicon_creator,rom,e2e] Enable test on CW340
pamaury Dec 3, 2025
9273e3e
[silicon_creator] remove duplicate function prototype
timothytrippel Jan 28, 2025
c011de4
[sram-exec] Avoid using compressed instructions when loading sp.
moidx Jan 30, 2025
70d167d
[bazel,bitstream] Add support for non-master branch
pamaury Dec 3, 2025
4c84db2
[rom_ext] Make the ROM_EXT protect itself in flash
cfrantz Jan 15, 2025
2adbb8c
[silicon_creator,owner] Replace hardcoded value by flash_ctrl parameter
pamaury Dec 3, 2025
8776d53
[top, dv] Parameterize number of EDN endpoints for EDN1, add DV configs
vogelpi Dec 2, 2025
2118b11
[prim/lint] Fix lint for prim_arbiter_ppc with N=1
vogelpi Dec 2, 2025
6926a03
[top, csrng, entropy_src] Remove CS AES halt request interface
vogelpi Nov 28, 2025
ae198c4
[entropy_src/doc] Document that the Distr FIFO depth needs to be odd
vogelpi Dec 2, 2025
File filter

Filter by extension

Filter by extension

Conversations
Failed to load comments.
Loading
Jump to
The table of contents is too big for display.
Diff view
Diff view
  •  
  •  
  •  
8 changes: 4 additions & 4 deletions MODULE.bazel.lock

Large diffs are not rendered by default.

12 changes: 6 additions & 6 deletions hw/dv/sv/alert_esc_agent/alert_esc_base_monitor.sv
Original file line number Diff line number Diff line change
Expand Up @@ -16,13 +16,14 @@ class alert_esc_base_monitor extends dv_base_monitor #(
`uvm_component_utils(alert_esc_base_monitor)
uvm_analysis_port #(alert_esc_seq_item) alert_esc_port;

bit under_reset;
// A flag maintained by reset_thread. This is high when rst_n is low.
protected bit under_reset;

extern function new (string name, uvm_component parent);
extern function void build_phase(uvm_phase phase);
extern virtual task run_phase(uvm_phase phase);
extern virtual task wait_for_reset_done();
extern virtual task reset_thread();
extern local task reset_thread();
// this function can be used in derived classes to reset local signals/variables if needed
extern virtual function void reset_signals();

Expand All @@ -38,8 +39,7 @@ function void alert_esc_base_monitor::build_phase(uvm_phase phase);
endfunction : build_phase

task alert_esc_base_monitor::run_phase(uvm_phase phase);
super.run_phase(phase);
wait_for_reset_done();
reset_thread();
endtask : run_phase

task alert_esc_base_monitor::wait_for_reset_done();
Expand All @@ -48,9 +48,9 @@ endtask : wait_for_reset_done

task alert_esc_base_monitor::reset_thread();
forever begin
@(negedge cfg.vif.rst_n);
wait(!cfg.vif.rst_n);
under_reset = 1;
@(posedge cfg.vif.rst_n);
wait(cfg.vif.rst_n);
// reset signals at posedge rst_n to avoid race condition at negedge rst_n
reset_signals();
under_reset = 0;
Expand Down
Loading
Loading