Skip to content

[test] dup of 3 #124

Open
Open
@eine

Description

This is a dup of #3, but the image name is fixed here.

entity ent is
  generic (
    max : natural);
  port (
    p : out natural range 1 to max := 3);
end entity;

architecture a of ent is
begin
end;
entity ent2 is
end entity;

architecture a of ent2 is
  constant max : natural := 2;
  signal p : natural range 1 to max;
begin

  inst : entity work.ent
    generic map (max => max)
    port map (p => p);
end;
#!/usr/bin/env sh

ghdl --version
ghdl -a ent.vhd
ghdl -a ent2.vhd
ghdl --elab-run ent2

Metadata

Assignees

No one assigned

    Labels

    TriageContains a M(n)WE to be processed by GHA (issue-runner)

    Projects

    No projects

    Milestone

    No milestone

    Relationships

    None yet

    Development

    No branches or pull requests

    Issue actions