Skip to content

Commit

Permalink
fix tests for non-global coupled
Browse files Browse the repository at this point in the history
* add SECS variable to avoid unbound error
* explicitly copy CICE ICs by date since there are now multiple
cpc versions of the ICs in the input directory for reach res
* note that datm configs at 1deg are expected to change due to
fix of the MOM6 land mask point in the cice grid file
  • Loading branch information
DeniseWorthen committed Sep 21, 2021
1 parent e607ab5 commit 19fa289
Show file tree
Hide file tree
Showing 6 changed files with 68 additions and 6 deletions.
4 changes: 4 additions & 0 deletions tests/default_vars.sh
Original file line number Diff line number Diff line change
Expand Up @@ -575,6 +575,7 @@ export SYEAR=2016
export SMONTH=10
export SDAY=03
export SHOUR=00
export SECS=`expr $SHOUR \* 3600`
export FHMAX=${FHMAX:-`expr $DAYS \* 24`}
export DT_ATMOS=1800
export FHCYC=24
Expand Down Expand Up @@ -905,6 +906,7 @@ export SYEAR=2011
export SMONTH=10
export SDAY=01
export SHOUR=00
export SECS=`expr $SHOUR \* 3600`
export CDATE=${SYEAR}${SMONTH}${SDAY}${SHOUR}

export NFHOUT=6
Expand Down Expand Up @@ -1019,6 +1021,7 @@ export SYEAR=2011
export SMONTH=10
export SDAY=01
export SHOUR=00
export SECS=`expr $SHOUR \* 3600`
export CDATE=${SYEAR}${SMONTH}${SDAY}${SHOUR}

export NFHOUT=6
Expand Down Expand Up @@ -1147,6 +1150,7 @@ export SYEAR=2019
export SMONTH=08
export SDAY=29
export SHOUR=00
export SECS=`expr $SHOUR \* 3600`
export FHMAX=6
export ENS_NUM=1
export DT_ATMOS=900
Expand Down
19 changes: 19 additions & 0 deletions tests/fv3_conf/compile_slurm.IN
Original file line number Diff line number Diff line change
@@ -0,0 +1,19 @@
#!/bin/sh
#SBATCH -e err
#SBATCH -o out
#SBATCH --account=@[ACCNR]
#SBATCH --qos=@[QUEUE]
#SBATCH --nodes=1
#SBATCH --ntasks-per-node=8
#SBATCH --time=30
#SBATCH --job-name="@[JBNME]"

set -eux

echo -n " $( date +%s )," > job_timestamp.txt
echo "Compile started: " `date`

@[PATHRT]/compile.sh @[MACHINE_ID] "@[MAKE_OPT]" @[COMPILE_NR]

echo "Compile ended: " `date`
echo -n " $( date +%s )," >> job_timestamp.txt
4 changes: 2 additions & 2 deletions tests/fv3_conf/cpld_datm_cdeps.IN
Original file line number Diff line number Diff line change
Expand Up @@ -18,10 +18,10 @@ if [ $WARM_START = .false. ]; then
# cold start
if [[ $OCNRES == '025' ]]; then
cp @[INPUTDATA_ROOT]/MOM6_IC/@[OCNRES]/2011100100/MOM*.nc ./INPUT
cp @[INPUTDATA_ROOT]/CICE_IC/@[OCNRES]/cice_model_@[ICERES].cpc*.nc cice_model.res.nc
cp @[INPUTDATA_ROOT]/CICE_IC/@[OCNRES]/cice_model_@[ICERES].cpc.res_2011100100.nc cice_model.res.nc
else
cp @[INPUTDATA_ROOT]/MOM6_IC/@[OCNRES]/2011100100/MOM6_IC_TS*.nc ./INPUT/MOM6_IC_TS.nc
cp @[INPUTDATA_ROOT]/CICE_IC/@[OCNRES]/cice_model_@[ICERES].cpc*.nc cice_model.res.nc
cp @[INPUTDATA_ROOT]/CICE_IC/@[OCNRES]/cice_model_@[ICERES].cpc.res_2011100100.nc cice_model.res.nc
fi
else
# warm start
Expand Down
4 changes: 2 additions & 2 deletions tests/fv3_conf/cpld_datm_cfsr.IN
Original file line number Diff line number Diff line change
Expand Up @@ -21,10 +21,10 @@ if [ $WARM_START = .false. ]; then
# cold start
if [[ $OCNRES == '025' ]]; then
cp @[INPUTDATA_ROOT]/MOM6_IC/@[OCNRES]/2011100100/MOM*.nc ./INPUT
cp @[INPUTDATA_ROOT]/CICE_IC/@[OCNRES]/cice_model_@[ICERES].cpc*.nc cice_model.res.nc
cp @[INPUTDATA_ROOT]/CICE_IC/@[OCNRES]/cice_model_@[ICERES].cpc.res_2011100100.nc cice_model.res.nc
else
cp @[INPUTDATA_ROOT]/MOM6_IC/@[OCNRES]/2011100100/MOM6_IC_TS*.nc ./INPUT/MOM6_IC_TS.nc
cp @[INPUTDATA_ROOT]/CICE_IC/@[OCNRES]/cice_model_@[ICERES].cpc*.nc cice_model.res.nc
cp @[INPUTDATA_ROOT]/CICE_IC/@[OCNRES]/cice_model_@[ICERES].cpc.res_2011100100.nc cice_model.res.nc
fi
else
# warm start
Expand Down
4 changes: 2 additions & 2 deletions tests/fv3_conf/cpld_datm_gefs.IN
Original file line number Diff line number Diff line change
Expand Up @@ -18,10 +18,10 @@ cp @[INPUTDATA_ROOT]/CICE_FIX/@[OCNRES]/mesh.mx@[OCNRES].nc .

if [[ $OCNRES == '025' ]]; then
cp @[INPUTDATA_ROOT]/MOM6_IC/@[OCNRES]/2011100100/MOM*.nc ./INPUT
cp @[INPUTDATA_ROOT]/CICE_IC/@[OCNRES]/cice_model_@[ICERES].cpc*.nc cice_model.res.nc
cp @[INPUTDATA_ROOT]/CICE_IC/@[OCNRES]/cice_model_@[ICERES].cpc.res_2011100100.nc cice_model.res.nc
else
cp @[INPUTDATA_ROOT]/MOM6_IC/@[OCNRES]/2011100100/MOM6_IC_TS*.nc ./INPUT/MOM6_IC_TS.nc
cp @[INPUTDATA_ROOT]/CICE_IC/@[OCNRES]/cice_model_@[ICERES].cpc*.nc cice_model.res.nc
cp @[INPUTDATA_ROOT]/CICE_IC/@[OCNRES]/cice_model_@[ICERES].cpc.res_2011100100.nc cice_model.res.nc
# MOM6 increment file
cp @[INPUTDATA_ROOT]/MOM6_IC/@[OCNRES]/2011100100/mom6_increment.nc ./INPUT/mom6_increment.nc
fi
39 changes: 39 additions & 0 deletions tests/fv3_conf/fv3_slurm.IN
Original file line number Diff line number Diff line change
@@ -0,0 +1,39 @@
#!/bin/sh
#SBATCH -e err
#SBATCH -o out
#SBATCH --account=@[ACCNR]
#SBATCH --qos=@[QUEUE]
### #SBATCH --ntasks=@[TASKS]
#SBATCH --nodes=@[NODES]
#SBATCH --ntasks-per-node=@[TPN]
#SBATCH --time=@[WLCLK]
#SBATCH --job-name="@[JBNME]"
### #SBATCH --exclusive

set -eux
echo -n " $( date +%s )," > job_timestamp.txt

set +x
source ./module-setup.sh
module use $( pwd -P )
module load modules.fv3
module list

set -x

echo "Model started: " `date`

export MPI_TYPE_DEPTH=20
export OMP_STACKSIZE=512M
export OMP_NUM_THREADS=@[THRD]
export ESMF_RUNTIME_COMPLIANCECHECK=OFF:depth=4
export PSM_RANKS_PER_CONTEXT=4
export PSM_SHAREDCONTEXTS=1

# Avoid job errors because of filesystem synchronization delays
sync && sleep 1

srun --label -n @[TASKS] ./fv3.exe

echo "Model ended: " `date`
echo -n " $( date +%s )," >> job_timestamp.txt

0 comments on commit 19fa289

Please sign in to comment.