Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

[Silabs]Clarify a warning trace for brd4186a/87c and cleanup unsupported erro… #29740

Merged
merged 2 commits into from
Oct 13, 2023
Merged
Changes from 1 commit
Commits
File filter

Filter by extension

Filter by extension

Conversations
Failed to load comments.
Loading
Jump to
Jump to file
Failed to load files.
Loading
Diff view
Diff view
Next Next commit
Clarify a warning trace for brd4186a/87c and cleanup unsupported erro…
…r message
  • Loading branch information
jmartinez-silabs committed Oct 13, 2023
commit 98e01e65bf65f3cb9433cf76d5b4a65cc027c1e2
10 changes: 6 additions & 4 deletions third_party/silabs/silabs_board.gni
Original file line number Diff line number Diff line change
Expand Up @@ -142,7 +142,9 @@ if (silabs_board == "BRD4304A") {
silabs_family = "efr32mg21"
silabs_mcu = "EFR32MG21A020F1024IM32"
} else if (silabs_board == "BRD4186A" || silabs_board == "BRD4187A") {
print("RevA is deprecated, We suggest using BRD4186C (rev C)")
variant = string_replace(silabs_board, "A", "C")
print(
"${silabs_board} is deprecated, It is recommended to use the 'C' variant of this board (${variant})")
jmartinez-silabs marked this conversation as resolved.
Show resolved Hide resolved
silabs_family = "efr32mg24"
silabs_mcu = "EFR32MG24A010F1536GM48"
} else if (silabs_board == "BRD4186C") {
Expand Down Expand Up @@ -202,9 +204,9 @@ if (silabs_board == "BRD4304A") {
silabs_family = "mgm24"
silabs_mcu = "MGM240SD22VNA"
} else {
print(
"Please provide a valid value for SILABS_BOARD env variable (currently supported BRD4304A, BRD4161A, BRD4163A, BRD4164A BRD4166A, BRD4170A, BRD4186C, BRD4187C, BRD2601B, BRD2703A, BRD4317A, BRD2704A)")
assert(false, "The board ${silabs_board} is unsupported")
assert(
false,
"The board ${silabs_board} is unsupported, A list of supported board can be found here https://t.ly/_b3SK")
jmartinez-silabs marked this conversation as resolved.
Show resolved Hide resolved
}

# qr code cannot be true if lcd is disabled
Expand Down