Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Test added sep22 manualscript #22824

Merged

Conversation

kowsisoundhar12
Copy link
Contributor

@kowsisoundhar12 kowsisoundhar12 commented Sep 22, 2022

Fixes #22835

Added Following Manual scripts:
modified: Test_TC_ACE_1_1.yaml
modified: Test_TC_ACL_2_7.yaml
modified: Test_TC_ACL_2_8.yaml
modified: Test_TC_BINFO_2_2.yaml
modified: Test_TC_BRBINFO_2_1.yaml
modified: Test_TC_BRBINFO_2_3.yaml
modified: Test_TC_BR_1.yaml
modified: Test_TC_CADMIN_1_17.yaml
modified: Test_TC_CADMIN_1_18.yaml
modified: Test_TC_CADMIN_1_7.yaml
modified: Test_TC_CADMIN_1_8.yaml
modified: Test_TC_DRLK_2_10.yaml
modified: Test_TC_IDM_2_1.yaml
modified: Test_TC_IDM_2_2.yaml
modified: Test_TC_IDM_3_2.yaml
modified: Test_TC_IDM_4_3.yaml
modified: Test_TC_IDM_6_2.yaml
modified: Test_TC_IDM_7_1.yaml
modified: Test_TC_LCFG_2_1.yaml
modified: Test_TC_LTIME_2_1.yaml
modified: Test_TC_SC_5_1.yaml
modified: Test_TC_SC_5_2.yaml
modified: Test_TC_SC_6_1.yaml

@github-actions
Copy link

github-actions bot commented Sep 22, 2022

PR #22824: Size comparison from ca0dd9b to 55dcf89

Increases (8 builds for bl602, bl702, nrfconnect, psoc6, telink)
platform target config section ca0dd9b 55dcf89 change % change
bl602 lighting-app bl602 .text 1064950 1064952 2 0.0
bl602+rpc .text 1096298 1096300 2 0.0
bl702 lighting-app bl702+rpc .debug_info 41802658 41802659 1 0.0
.text 1030520 1030522 2 0.0
nrfconnect all-clusters-minimal-app nrf52840dk_nrf52840 text 803156 803160 4 0.0
psoc6 all-clusters-minimal cy8ckit_062s2_43012 .debug_info 26555631 26555632 1 0.0
telink light-switch-app tlsr9518adk80d (read/write) 814460 814468 8 0.0
text 574564 574566 2 0.0
lighting-app tlsr9518adk80d text 592784 592786 2 0.0
ota-requestor-app tlsr9518adk80d text 598966 598968 2 0.0
Decreases (1 build for psoc6)
platform target config section ca0dd9b 55dcf89 change % change
psoc6 light cy8ckit_062s2_43012 .debug_info 22019810 22019809 -1 -0.0
Full report (33 builds for bl602, bl702, cc13x2_26x2, cyw30739, efr32, k32w, linux, mbed, nrfconnect, psoc6, telink)
platform target config section ca0dd9b 55dcf89 change % change
bl602 lighting-app bl602 (read/write) 1383302 1383302 0 0.0
.bss 89537 89537 0 0.0
.data 9816 9816 0 0.0
.text 1064950 1064952 2 0.0
bl602+rpc (read/write) 1428506 1428506 0 0.0
.bss 96969 96969 0 0.0
.data 10200 10200 0 0.0
.text 1096298 1096300 2 0.0
bl702 lighting-app bl702 (read only) 3262 3262 0 0.0
(read/write) 1188179 1188179 0 0.0
.bleromro 6296 6296 0 0.0
.bleromrw 124 124 0 0.0
.boot2 688 688 0 0.0
.bss 67078 67078 0 0.0
.bss_psram 29696 29696 0 0.0
.comment 48 48 0 0.0
.data 4280 4280 0 0.0
.debug_abbrev 1506715 1506715 0 0.0
.debug_aranges 133088 133088 0 0.0
.debug_frame 486412 486412 0 0.0
.debug_info 37896040 37896040 0 0.0
.debug_line 5252141 5252141 0 0.0
.debug_loc 3362527 3362527 0 0.0
.debug_ranges 359760 359760 0 0.0
.debug_str 3455801 3455801 0 0.0
.hbn 509 509 0 0.0
.hbn_noinit 260 260 0 0.0
.init 342 342 0 0.0
.init_array 144 144 0 0.0
.psram 0 0 0 0.0
.riscv.attributes 47 47 0 0.0
.rodata 116488 116488 0 0.0
.rsvd 3188 3188 0 0.0
.shstrtab 293 293 0 0.0
.stack 2048 2048 0 0.0
.strtab 564895 564895 0 0.0
.symtab 171616 171616 0 0.0
.tcm_data 36 36 0 0.0
.tcmcode 3262 3262 0 0.0
.text 0 0 0 0.0
956820 956820 0 0.0
bl702+rpc (read only) 3262 3262 0 0.0
(read/write) 1284107 1284107 0 0.0
.bleromro 6296 6296 0 0.0
.bleromrw 124 124 0 0.0
.boot2 688 688 0 0.0
.bss 75126 75126 0 0.0
.bss_psram 29936 29936 0 0.0
.comment 48 48 0 0.0
.data 4800 4800 0 0.0
.debug_abbrev 1644294 1644294 0 0.0
.debug_aranges 140592 140592 0 0.0
.debug_frame 511788 511788 0 0.0
.debug_info 41802658 41802659 1 0.0
.debug_line 5626680 5626680 0 0.0
.debug_loc 3555234 3555234 0 0.0
.debug_ranges 382216 382216 0 0.0
.debug_str 3851768 3851768 0 0.0
.hbn 509 509 0 0.0
.hbn_noinit 260 260 0 0.0
.init 342 342 0 0.0
.init_array 160 160 0 0.0
.psram 0 0 0 0.0
.riscv.attributes 47 47 0 0.0
.rodata 129896 129896 0 0.0
.rsvd 3188 3188 0 0.0
.shstrtab 293 293 0 0.0
.stack 2048 2048 0 0.0
.strtab 624068 624068 0 0.0
.symtab 189424 189424 0 0.0
.tcm_data 36 36 0 0.0
.tcmcode 3262 3262 0 0.0
.text 0 0 0 0.0
1030520 1030522 2 0.0
cc13x2_26x2 all-clusters-app LP_CC2652R7 (read only) 676595 676595 0 0.0
(read/write) 174940 174940 0 0.0
.bss 81228 81228 0 0.0
.data 3380 3380 0 0.0
.rodata 89603 89603 0 0.0
.text 586680 586680 0 0.0
all-clusters-minimal-app LP_CC2652R7 (read only) 640843 640843 0 0.0
(read/write) 157996 157996 0 0.0
.bss 80500 80500 0 0.0
.data 3380 3380 0 0.0
.rodata 78739 78739 0 0.0
.text 561784 561784 0 0.0
lock-ftd LP_CC2652R7 (read only) 678127 678127 0 0.0
(read/write) 170576 170576 0 0.0
.bss 78484 78484 0 0.0
.data 3304 3304 0 0.0
.rodata 77287 77287 0 0.0
.text 600360 600360 0 0.0
lock-mtd LP_CC2652R7 (read only) 661947 661947 0 0.0
(read/write) 182444 182444 0 0.0
.bss 74172 74172 0 0.0
.data 3304 3304 0 0.0
.rodata 103123 103123 0 0.0
.text 558344 558344 0 0.0
pump-app LP_CC2652R7 (read only) 687283 687283 0 0.0
(read/write) 162124 162124 0 0.0
.bss 78420 78420 0 0.0
.data 3296 3296 0 0.0
.rodata 90507 90507 0 0.0
.text 596292 596292 0 0.0
pump-controller-app LP_CC2652R7 (read only) 671791 671791 0 0.0
(read/write) 177728 177728 0 0.0
.bss 78532 78532 0 0.0
.data 3292 3292 0 0.0
.rodata 86063 86063 0 0.0
.text 585248 585248 0 0.0
shell LP_CC2652R7 (read only) 667622 667622 0 0.0
(read/write) 186224 186224 0 0.0
.bss 83540 83540 0 0.0
.data 3376 3376 0 0.0
.rodata 86318 86318 0 0.0
.text 580988 580988 0 0.0
cyw30739 light cyw930739m2evb_01 (read/write) 587330 587330 0 0.0
.app_xip_area 463988 463988 0 0.0
.bss 65776 65776 0 0.0
.data 744 744 0 0.0
.rodata 0 0 0 0.0
.text 112 112 0 0.0
lock cyw930739m2evb_01 (read/write) 594394 594394 0 0.0
.app_xip_area 465724 465724 0 0.0
.bss 71096 71096 0 0.0
.data 752 752 0 0.0
.rodata 0 0 0 0.0
.text 112 112 0 0.0
ota-requestor-no-progress-logging cyw930739m2evb_01 (read/write) 543330 543330 0 0.0
.app_xip_area 425012 425012 0 0.0
.bss 60784 60784 0 0.0
.data 716 716 0 0.0
.rodata 0 0 0 0.0
.text 112 112 0 0.0
efr32 lighting-app BRD4161A (read/write) 1110520 1110520 0 0.0
.bss 136532 136532 0 0.0
.data 2072 2072 0 0.0
.text 971896 971896 0 0.0
BRD4161A+rpc (read/write) 973644 973644 0 0.0
.bss 151044 151044 0 0.0
.data 2252 2252 0 0.0
.text 820328 820328 0 0.0
BRD4161A+rs911x (read/write) 1003768 1003768 0 0.0
.bss 169368 169368 0 0.0
.data 2064 2064 0 0.0
.text 832316 832316 0 0.0
lock-app BRD4161A+wf200 (read/write) 1151308 1151308 0 0.0
.bss 152248 152248 0 0.0
.data 2072 2072 0 0.0
.text 996968 996968 0 0.0
window-app BRD4161A (read/write) 1102568 1102568 0 0.0
.bss 137980 137980 0 0.0
.data 2096 2096 0 0.0
.text 962472 962472 0 0.0
k32w light k32w0+release (read/write) 649900 649900 0 0.0
.bss 70712 70712 0 0.0
.data 2068 2068 0 0.0
.text 574392 574392 0 0.0
lock k32w0+release (read/write) 706856 706856 0 0.0
.bss 71160 71160 0 0.0
.data 2076 2076 0 0.0
.text 630892 630892 0 0.0
linux chip-tool-ipv6only arm64 (read only) 10361076 10361076 0 0.0
(read/write) 706273 706273 0 0.0
.bss 33953 33953 0 0.0
.data 2864 2864 0 0.0
.data.rel.ro 650560 650560 0 0.0
.dynamic 560 560 0 0.0
.got 13912 13912 0 0.0
.init 24 24 0 0.0
.init_array 208 208 0 0.0
.rodata 505940 505940 0 0.0
.text 8201140 8201140 0 0.0
thermostat-no-ble arm64 (read only) 2387420 2387420 0 0.0
(read/write) 143649 143649 0 0.0
.bss 55361 55361 0 0.0
.data 1912 1912 0 0.0
.data.rel.ro 77208 77208 0 0.0
.dynamic 560 560 0 0.0
.got 5192 5192 0 0.0
.init 24 24 0 0.0
.init_array 440 440 0 0.0
.rodata 143636 143636 0 0.0
.text 2001472 2001472 0 0.0
mbed lock-app CY8CPROTO_062_4343W+release (read only) 6224 6224 0 0.0
(read/write) 2455640 2455640 0 0.0
.bss 215044 215044 0 0.0
.data 5872 5872 0 0.0
.text 1418284 1418284 0 0.0
nrfconnect all-clusters-app nrf52840dk_nrf52840 (read/write) 1182835 1182835 0 0.0
bss 144433 144433 0 0.0
rodata 144196 144196 0 0.0
text 815292 815292 0 0.0
all-clusters-minimal-app nrf52840dk_nrf52840 (read/write) 1161487 1161487 0 0.0
bss 143660 143660 0 0.0
rodata 135768 135768 0 0.0
text 803156 803160 4 0.0
psoc6 all-clusters cy8ckit_062s2_43012 (read only) 841968 841968 0 0.0
(read/write) 1744300 1744300 0 0.0
.ARM.attributes 46 46 0 0.0
.ARM.exidx 8 8 0 0.0
.bss 188712 188712 0 0.0
.comment 204 204 0 0.0
.copy.table 24 24 0 0.0
.cy_m0p_image 6216 6216 0 0.0
.cy_sharedmem 8 8 0 0.0
.data 2664 2664 0 0.0
.debug_abbrev 1229274 1229274 0 0.0
.debug_aranges 111824 111824 0 0.0
.debug_frame 373360 373360 0 0.0
.debug_info 26818849 26818849 0 0.0
.debug_line 3668702 3668702 0 0.0
.debug_loc 3581471 3581471 0 0.0
.debug_ranges 340096 340096 0 0.0
.debug_str 3439576 3439576 0 0.0
.heap 841968 841968 0 0.0
.noinit 148 148 0 0.0
.ramVectors 736 736 0 0.0
.shstrtab 288 288 0 0.0
.stab 156 156 0 0.0
.stabstr 335 335 0 0.0
.stack_dummy 4096 4096 0 0.0
.strtab 569547 569547 0 0.0
.symtab 421040 421040 0 0.0
.text 0 0 0 0.0
1544536 1544536 0 0.0
.zero.table 8 8 0 0.0
all-clusters-minimal cy8ckit_062s2_43012 (read only) 842704 842704 0 0.0
(read/write) 1686916 1686916 0 0.0
.ARM.attributes 46 46 0 0.0
.ARM.exidx 8 8 0 0.0
.bss 187976 187976 0 0.0
.comment 204 204 0 0.0
.copy.table 24 24 0 0.0
.cy_m0p_image 6216 6216 0 0.0
.cy_sharedmem 8 8 0 0.0
.data 2664 2664 0 0.0
.debug_abbrev 1221073 1221073 0 0.0
.debug_aranges 111296 111296 0 0.0
.debug_frame 376440 376440 0 0.0
.debug_info 26555631 26555632 1 0.0
.debug_line 3689418 3689418 0 0.0
.debug_loc 3569108 3569108 0 0.0
.debug_ranges 338712 338712 0 0.0
.debug_str 3428589 3428589 0 0.0
.heap 842704 842704 0 0.0
.noinit 148 148 0 0.0
.ramVectors 736 736 0 0.0
.shstrtab 288 288 0 0.0
.stab 156 156 0 0.0
.stabstr 335 335 0 0.0
.stack_dummy 4096 4096 0 0.0
.strtab 533636 533636 0 0.0
.symtab 407472 407472 0 0.0
.text 1487888 1487888 0 0.0
.zero.table 0 0 0 0.0
8 8 0 0.0
light cy8ckit_062s2_43012 (read only) 850896 850896 0 0.0
(read/write) 1605460 1605460 0 0.0
.ARM.attributes 46 46 0 0.0
.ARM.exidx 8 8 0 0.0
.bss 179992 179992 0 0.0
.comment 204 204 0 0.0
.copy.table 24 24 0 0.0
.cy_m0p_image 6216 6216 0 0.0
.cy_sharedmem 8 8 0 0.0
.data 2456 2456 0 0.0
.debug_abbrev 1055129 1055129 0 0.0
.debug_aranges 103504 103504 0 0.0
.debug_frame 346768 346768 0 0.0
.debug_info 22019810 22019809 -1 -0.0
.debug_line 3259338 3259338 0 0.0
.debug_loc 3267187 3267187 0 0.0
.debug_ranges 304040 304040 0 0.0
.debug_str 3234121 3234121 0 0.0
.heap 850896 850896 0 0.0
.noinit 148 148 0 0.0
.ramVectors 736 736 0 0.0
.shstrtab 288 288 0 0.0
.stab 156 156 0 0.0
.stabstr 335 335 0 0.0
.stack_dummy 4096 4096 0 0.0
.strtab 470013 470013 0 0.0
.symtab 375920 375920 0 0.0
.text 1414624 1414624 0 0.0
.zero.table 0 0 0 0.0
8 8 0 0.0
lock cy8ckit_062s2_43012 (read only) 845864 845864 0 0.0
(read/write) 1643324 1643324 0 0.0
.ARM.attributes 46 46 0 0.0
.ARM.exidx 8 8 0 0.0
.bss 185008 185008 0 0.0
.comment 204 204 0 0.0
.copy.table 24 24 0 0.0
.cy_m0p_image 6216 6216 0 0.0
.cy_sharedmem 8 8 0 0.0
.data 2472 2472 0 0.0
.debug_abbrev 1062548 1062548 0 0.0
.debug_aranges 104176 104176 0 0.0
.debug_frame 349592 349592 0 0.0
.debug_info 22399179 22399179 0 0.0
.debug_line 3268052 3268052 0 0.0
.debug_loc 3307015 3307015 0 0.0
.debug_ranges 307384 307384 0 0.0
.debug_str 3261576 3261576 0 0.0
.heap 845864 845864 0 0.0
.noinit 148 148 0 0.0
.ramVectors 736 736 0 0.0
.shstrtab 288 288 0 0.0
.stab 156 156 0 0.0
.stabstr 335 335 0 0.0
.stack_dummy 4096 4096 0 0.0
.strtab 476253 476253 0 0.0
.symtab 379152 379152 0 0.0
.text 1447456 1447456 0 0.0
.zero.table 0 0 0 0.0
8 8 0 0.0
telink light-switch-app tlsr9518adk80d (read/write) 814460 814468 8 0.0
bss 72172 72172 0 0.0
noinit 43488 43488 0 0.0
text 574564 574566 2 0.0
lighting-app tlsr9518adk80d (read/write) 836572 836572 0 0.0
bss 73028 73028 0 0.0
noinit 43488 43488 0 0.0
text 592784 592786 2 0.0
ota-requestor-app tlsr9518adk80d (read/write) 844524 844524 0 0.0
bss 73936 73936 0 0.0
noinit 43488 43488 0 0.0
text 598966 598968 2 0.0

@github-actions
Copy link

github-actions bot commented Sep 23, 2022

PR #22824: Size comparison from edb93a0 to 817e062

Increases (6 builds for bl602, cc13x2_26x2, esp32, qpg, telink)
platform target config section edb93a0 817e062 change % change
bl602 lighting-app bl602 .text 1064948 1064950 2 0.0
cc13x2_26x2 all-clusters-app LP_CC2652R7 (read only) 676579 676587 8 0.0
.text 586656 586664 8 0.0
pump-controller-app LP_CC2652R7 (read only) 671863 671871 8 0.0
.text 585264 585272 8 0.0
esp32 all-clusters-app c3devkit (read only) 1222918 1222920 2 0.0
.flash.text 1222918 1222920 2 0.0
qpg lighting-app qpg6105+debug (read/write) 1146288 1146296 8 0.0
.text 593384 593392 8 0.0
telink lighting-app tlsr9518adk80d text 592778 592780 2 0.0
Decreases (7 builds for bl702, cc13x2_26x2, psoc6, telink)
platform target config section edb93a0 817e062 change % change
bl702 lighting-app bl702+rpc .debug_info 41805210 41805209 -1 -0.0
.text 1030456 1030454 -2 -0.0
cc13x2_26x2 all-clusters-app LP_CC2652R7 (read/write) 174956 174948 -8 -0.0
pump-controller-app LP_CC2652R7 (read/write) 177656 177648 -8 -0.0
psoc6 all-clusters cy8ckit_062s2_43012 .debug_info 26821421 26821420 -1 -0.0
all-clusters-minimal cy8ckit_062s2_43012 .debug_info 26558203 26558201 -2 -0.0
light cy8ckit_062s2_43012 .debug_info 22022384 22022382 -2 -0.0
telink light-switch-app tlsr9518adk80d text 574560 574558 -2 -0.0
Full report (37 builds for bl602, bl702, cc13x2_26x2, cyw30739, efr32, esp32, k32w, linux, mbed, nrfconnect, psoc6, qpg, telink)
platform target config section edb93a0 817e062 change % change
bl602 lighting-app bl602 (read/write) 1383286 1383286 0 0.0
.bss 89537 89537 0 0.0
.data 9808 9808 0 0.0
.text 1064948 1064950 2 0.0
bl602+rpc (read/write) 1428498 1428498 0 0.0
.bss 96969 96969 0 0.0
.data 10192 10192 0 0.0
.text 1096296 1096296 0 0.0
bl702 lighting-app bl702 (read only) 3262 3262 0 0.0
(read/write) 1188091 1188091 0 0.0
.bleromro 6296 6296 0 0.0
.bleromrw 124 124 0 0.0
.boot2 688 688 0 0.0
.bss 67078 67078 0 0.0
.bss_psram 29696 29696 0 0.0
.comment 48 48 0 0.0
.data 4272 4272 0 0.0
.debug_abbrev 1506921 1506921 0 0.0
.debug_aranges 133088 133088 0 0.0
.debug_frame 486408 486408 0 0.0
.debug_info 37898613 37898613 0 0.0
.debug_line 5252753 5252753 0 0.0
.debug_loc 3364043 3364043 0 0.0
.debug_ranges 359032 359032 0 0.0
.debug_str 3456012 3456012 0 0.0
.hbn 509 509 0 0.0
.hbn_noinit 260 260 0 0.0
.init 342 342 0 0.0
.init_array 144 144 0 0.0
.psram 0 0 0 0.0
.riscv.attributes 47 47 0 0.0
.rodata 116472 116472 0 0.0
.rsvd 3188 3188 0 0.0
.shstrtab 293 293 0 0.0
.stack 2048 2048 0 0.0
.strtab 564828 564828 0 0.0
.symtab 171600 171600 0 0.0
.tcm_data 36 36 0 0.0
.tcmcode 3262 3262 0 0.0
.text 0 0 0 0.0
956754 956754 0 0.0
bl702+rpc (read only) 3262 3262 0 0.0
(read/write) 1284011 1284011 0 0.0
.bleromro 6296 6296 0 0.0
.bleromrw 124 124 0 0.0
.boot2 688 688 0 0.0
.bss 75126 75126 0 0.0
.bss_psram 29936 29936 0 0.0
.comment 48 48 0 0.0
.data 4800 4800 0 0.0
.debug_abbrev 1644466 1644466 0 0.0
.debug_aranges 140592 140592 0 0.0
.debug_frame 511784 511784 0 0.0
.debug_info 41805210 41805209 -1 -0.0
.debug_line 5627292 5627292 0 0.0
.debug_loc 3556747 3556747 0 0.0
.debug_ranges 381488 381488 0 0.0
.debug_str 3851912 3851912 0 0.0
.hbn 509 509 0 0.0
.hbn_noinit 260 260 0 0.0
.init 342 342 0 0.0
.init_array 160 160 0 0.0
.psram 0 0 0 0.0
.riscv.attributes 47 47 0 0.0
.rodata 129864 129864 0 0.0
.rsvd 3188 3188 0 0.0
.shstrtab 293 293 0 0.0
.stack 2048 2048 0 0.0
.strtab 624001 624001 0 0.0
.symtab 189408 189408 0 0.0
.tcm_data 36 36 0 0.0
.tcmcode 3262 3262 0 0.0
.text 0 0 0 0.0
1030456 1030454 -2 -0.0
cc13x2_26x2 all-clusters-app LP_CC2652R7 (read only) 676579 676587 8 0.0
(read/write) 174956 174948 -8 -0.0
.bss 81228 81228 0 0.0
.data 3380 3380 0 0.0
.rodata 89611 89611 0 0.0
.text 586656 586664 8 0.0
all-clusters-minimal-app LP_CC2652R7 (read only) 640835 640835 0 0.0
(read/write) 157996 157996 0 0.0
.bss 80500 80500 0 0.0
.data 3380 3380 0 0.0
.rodata 78747 78747 0 0.0
.text 561768 561768 0 0.0
lock-ftd LP_CC2652R7 (read only) 678111 678111 0 0.0
(read/write) 170592 170592 0 0.0
.bss 78484 78484 0 0.0
.data 3304 3304 0 0.0
.rodata 77295 77295 0 0.0
.text 600336 600336 0 0.0
lock-mtd LP_CC2652R7 (read only) 661939 661939 0 0.0
(read/write) 182452 182452 0 0.0
.bss 74172 74172 0 0.0
.data 3304 3304 0 0.0
.rodata 103131 103131 0 0.0
.text 558328 558328 0 0.0
pump-app LP_CC2652R7 (read only) 687363 687363 0 0.0
(read/write) 162044 162044 0 0.0
.bss 78420 78420 0 0.0
.data 3296 3296 0 0.0
.rodata 90563 90563 0 0.0
.text 596316 596316 0 0.0
pump-controller-app LP_CC2652R7 (read only) 671863 671871 8 0.0
(read/write) 177656 177648 -8 -0.0
.bss 78532 78532 0 0.0
.data 3292 3292 0 0.0
.rodata 86119 86119 0 0.0
.text 585264 585272 8 0.0
shell LP_CC2652R7 (read only) 667638 667638 0 0.0
(read/write) 186208 186208 0 0.0
.bss 83540 83540 0 0.0
.data 3376 3376 0 0.0
.rodata 86326 86326 0 0.0
.text 580996 580996 0 0.0
cyw30739 light cyw930739m2evb_01 (read/write) 587306 587306 0 0.0
.app_xip_area 463964 463964 0 0.0
.bss 65776 65776 0 0.0
.data 744 744 0 0.0
.rodata 0 0 0 0.0
.text 112 112 0 0.0
lock cyw930739m2evb_01 (read/write) 594370 594370 0 0.0
.app_xip_area 465700 465700 0 0.0
.bss 71096 71096 0 0.0
.data 752 752 0 0.0
.rodata 0 0 0 0.0
.text 112 112 0 0.0
ota-requestor-no-progress-logging cyw930739m2evb_01 (read/write) 543378 543378 0 0.0
.app_xip_area 425060 425060 0 0.0
.bss 60784 60784 0 0.0
.data 716 716 0 0.0
.rodata 0 0 0 0.0
.text 112 112 0 0.0
efr32 lighting-app BRD4161A (read/write) 1110504 1110504 0 0.0
.bss 136532 136532 0 0.0
.data 2072 2072 0 0.0
.text 971880 971880 0 0.0
BRD4161A+rpc (read/write) 973676 973676 0 0.0
.bss 151044 151044 0 0.0
.data 2252 2252 0 0.0
.text 820360 820360 0 0.0
BRD4161A+rs911x (read/write) 1003768 1003768 0 0.0
.bss 169368 169368 0 0.0
.data 2064 2064 0 0.0
.text 832316 832316 0 0.0
lock-app BRD4161A+wf200 (read/write) 1151332 1151332 0 0.0
.bss 152248 152248 0 0.0
.data 2072 2072 0 0.0
.text 996992 996992 0 0.0
window-app BRD4161A (read/write) 1102560 1102560 0 0.0
.bss 137980 137980 0 0.0
.data 2096 2096 0 0.0
.text 962464 962464 0 0.0
esp32 all-clusters-app c3devkit (read only) 1222918 1222920 2 0.0
(read/write) 1788078 1788078 0 0.0
.dram0.bss 76944 76944 0 0.0
.dram0.data 13840 13840 0 0.0
.flash.rodata 257648 257648 0 0.0
.flash.text 1222918 1222920 2 0.0
.iram0.text 65204 65204 0 0.0
m5stack (read only) 1233031 1233031 0 0.0
(read/write) 563980 563980 0 0.0
.dram0.bss 82304 82304 0 0.0
.dram0.data 34296 34296 0 0.0
.flash.rodata 314712 314712 0 0.0
.flash.text 1227647 1227647 0 0.0
.iram0.text 123939 123939 0 0.0
k32w light k32w0+release (read/write) 649908 649908 0 0.0
.bss 70712 70712 0 0.0
.data 2068 2068 0 0.0
.text 574400 574400 0 0.0
lock k32w0+release (read/write) 706864 706864 0 0.0
.bss 71160 71160 0 0.0
.data 2076 2076 0 0.0
.text 630900 630900 0 0.0
linux chip-tool-ipv6only arm64 (read only) 10381740 10381740 0 0.0
(read/write) 706257 706257 0 0.0
.bss 33953 33953 0 0.0
.data 2864 2864 0 0.0
.data.rel.ro 650560 650560 0 0.0
.dynamic 560 560 0 0.0
.got 13904 13904 0 0.0
.init 24 24 0 0.0
.init_array 208 208 0 0.0
.rodata 508332 508332 0 0.0
.text 8219428 8219428 0 0.0
thermostat-no-ble arm64 (read only) 2387508 2387508 0 0.0
(read/write) 143649 143649 0 0.0
.bss 55361 55361 0 0.0
.data 1912 1912 0 0.0
.data.rel.ro 77208 77208 0 0.0
.dynamic 560 560 0 0.0
.got 5184 5184 0 0.0
.init 24 24 0 0.0
.init_array 440 440 0 0.0
.rodata 143676 143676 0 0.0
.text 2001536 2001536 0 0.0
mbed lock-app CY8CPROTO_062_4343W+release (read only) 6224 6224 0 0.0
(read/write) 2455680 2455680 0 0.0
.bss 215044 215044 0 0.0
.data 5872 5872 0 0.0
.text 1418324 1418324 0 0.0
nrfconnect all-clusters-app nrf52840dk_nrf52840 (read/write) 1182875 1182875 0 0.0
bss 144433 144433 0 0.0
rodata 144236 144236 0 0.0
text 815296 815296 0 0.0
all-clusters-minimal-app nrf52840dk_nrf52840 (read/write) 1161559 1161559 0 0.0
bss 143660 143660 0 0.0
rodata 135808 135808 0 0.0
text 803188 803188 0 0.0
psoc6 all-clusters cy8ckit_062s2_43012 (read only) 841968 841968 0 0.0
(read/write) 1744324 1744324 0 0.0
.ARM.attributes 46 46 0 0.0
.ARM.exidx 8 8 0 0.0
.bss 188712 188712 0 0.0
.comment 204 204 0 0.0
.copy.table 24 24 0 0.0
.cy_m0p_image 6216 6216 0 0.0
.cy_sharedmem 8 8 0 0.0
.data 2664 2664 0 0.0
.debug_abbrev 1229470 1229470 0 0.0
.debug_aranges 111824 111824 0 0.0
.debug_frame 373360 373360 0 0.0
.debug_info 26821421 26821420 -1 -0.0
.debug_line 3668982 3668982 0 0.0
.debug_loc 3583230 3583230 0 0.0
.debug_ranges 338856 338856 0 0.0
.debug_str 3439777 3439777 0 0.0
.heap 841968 841968 0 0.0
.noinit 148 148 0 0.0
.ramVectors 736 736 0 0.0
.shstrtab 288 288 0 0.0
.stab 156 156 0 0.0
.stabstr 335 335 0 0.0
.stack_dummy 4096 4096 0 0.0
.strtab 569480 569480 0 0.0
.symtab 421024 421024 0 0.0
.text 1544560 1544560 0 0.0
.zero.table 8 8 0 0.0
text 0 0 0 0.0
all-clusters-minimal cy8ckit_062s2_43012 (read only) 842704 842704 0 0.0
(read/write) 1686932 1686932 0 0.0
.ARM.attributes 46 46 0 0.0
.ARM.exidx 8 8 0 0.0
.bss 187976 187976 0 0.0
.comment 204 204 0 0.0
.copy.table 24 24 0 0.0
.cy_m0p_image 6216 6216 0 0.0
.cy_sharedmem 8 8 0 0.0
.data 2664 2664 0 0.0
.debug_abbrev 1221269 1221269 0 0.0
.debug_aranges 111296 111296 0 0.0
.debug_frame 376440 376440 0 0.0
.debug_info 26558203 26558201 -2 -0.0
.debug_line 3689698 3689698 0 0.0
.debug_loc 3570867 3570867 0 0.0
.debug_ranges 337472 337472 0 0.0
.debug_str 3428790 3428790 0 0.0
.heap 842704 842704 0 0.0
.noinit 148 148 0 0.0
.ramVectors 736 736 0 0.0
.shstrtab 288 288 0 0.0
.stab 156 156 0 0.0
.stabstr 335 335 0 0.0
.stack_dummy 4096 4096 0 0.0
.strtab 533569 533569 0 0.0
.symtab 407456 407456 0 0.0
.text 1487904 1487904 0 0.0
.zero.table 0 0 0 0.0
8 8 0 0.0
light cy8ckit_062s2_43012 (read only) 850896 850896 0 0.0
(read/write) 1605452 1605452 0 0.0
.ARM.attributes 46 46 0 0.0
.ARM.exidx 8 8 0 0.0
.bss 179992 179992 0 0.0
.comment 204 204 0 0.0
.copy.table 24 24 0 0.0
.cy_m0p_image 6216 6216 0 0.0
.cy_sharedmem 8 8 0 0.0
.data 2456 2456 0 0.0
.debug_abbrev 1055325 1055325 0 0.0
.debug_aranges 103504 103504 0 0.0
.debug_frame 346768 346768 0 0.0
.debug_info 22022384 22022382 -2 -0.0
.debug_line 3259618 3259618 0 0.0
.debug_loc 3268931 3268931 0 0.0
.debug_ranges 302800 302800 0 0.0
.debug_str 3234322 3234322 0 0.0
.heap 850896 850896 0 0.0
.noinit 148 148 0 0.0
.ramVectors 736 736 0 0.0
.shstrtab 288 288 0 0.0
.stab 156 156 0 0.0
.stabstr 335 335 0 0.0
.stack_dummy 4096 4096 0 0.0
.strtab 469946 469946 0 0.0
.symtab 375904 375904 0 0.0
.text 1414616 1414616 0 0.0
.zero.table 0 0 0 0.0
8 8 0 0.0
lock cy8ckit_062s2_43012 (read only) 845864 845864 0 0.0
(read/write) 1643332 1643332 0 0.0
.ARM.attributes 46 46 0 0.0
.ARM.exidx 8 8 0 0.0
.bss 185008 185008 0 0.0
.comment 204 204 0 0.0
.copy.table 24 24 0 0.0
.cy_m0p_image 6216 6216 0 0.0
.cy_sharedmem 8 8 0 0.0
.data 2472 2472 0 0.0
.debug_abbrev 1062744 1062744 0 0.0
.debug_aranges 104176 104176 0 0.0
.debug_frame 349592 349592 0 0.0
.debug_info 22401751 22401751 0 0.0
.debug_line 3268332 3268332 0 0.0
.debug_loc 3308774 3308774 0 0.0
.debug_ranges 306144 306144 0 0.0
.debug_str 3261777 3261777 0 0.0
.heap 845864 845864 0 0.0
.noinit 148 148 0 0.0
.ramVectors 736 736 0 0.0
.shstrtab 288 288 0 0.0
.stab 156 156 0 0.0
.stabstr 335 335 0 0.0
.stack_dummy 4096 4096 0 0.0
.strtab 476186 476186 0 0.0
.symtab 379136 379136 0 0.0
.text 1447464 1447464 0 0.0
.zero.table 0 0 0 0.0
8 8 0 0.0
qpg lighting-app qpg6105+debug (read/write) 1146288 1146296 8 0.0
.bss 110544 110544 0 0.0
.data 1028 1028 0 0.0
.text 593384 593392 8 0.0
lock-app qpg6105+debug (read/write) 1116180 1116180 0 0.0
.bss 106376 106376 0 0.0
.data 1032 1032 0 0.0
.text 563280 563280 0 0.0
telink light-switch-app tlsr9518adk80d (read/write) 814512 814512 0 0.0
bss 72172 72172 0 0.0
noinit 43488 43488 0 0.0
text 574560 574558 -2 -0.0
lighting-app tlsr9518adk80d (read/write) 836616 836616 0 0.0
bss 73028 73028 0 0.0
noinit 43488 43488 0 0.0
text 592778 592780 2 0.0
ota-requestor-app tlsr9518adk80d (read/write) 844576 844576 0 0.0
bss 73936 73936 0 0.0
noinit 43488 43488 0 0.0
text 598962 598962 0 0.0

@raju-apple raju-apple added the sve label Sep 23, 2022
@andy31415 andy31415 merged commit a90c6b1 into project-chip:master Sep 23, 2022
github-actions bot pushed a commit that referenced this pull request Sep 23, 2022
* Added Auto generated file

* Added Auto generated files

* Restyled by clang-format

* Updating autogen files

Co-authored-by: Restyled.io <commits@restyled.io>
Co-authored-by: kvikrambhat <vikrambhat@outlook.com>
andy31415 pushed a commit that referenced this pull request Sep 23, 2022
* Added Auto generated file

* Added Auto generated files

* Restyled by clang-format

* Updating autogen files

Co-authored-by: Restyled.io <commits@restyled.io>
Co-authored-by: kvikrambhat <vikrambhat@outlook.com>

Co-authored-by: kowsisoundhar12 <57476670+kowsisoundhar12@users.noreply.github.com>
Co-authored-by: Restyled.io <commits@restyled.io>
Co-authored-by: kvikrambhat <vikrambhat@outlook.com>
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Projects
None yet
Development

Successfully merging this pull request may close these issues.

[TH]Adding final batch of manual tests into TH
7 participants