Skip to content

Commit

Permalink
Adds spell fix
Browse files Browse the repository at this point in the history
  • Loading branch information
rosahay-silabs committed Oct 5, 2023
1 parent c15859c commit e82906c
Show file tree
Hide file tree
Showing 3 changed files with 13 additions and 13 deletions.
12 changes: 6 additions & 6 deletions examples/platform/silabs/efr32/rs911x/hal/efx_spi.c
Original file line number Diff line number Diff line change
Expand Up @@ -263,7 +263,7 @@ sl_status_t sl_wfx_host_spi_cs_deassert(void)
}
#endif // SL_SPICTRL_MUX

#if SL_MUX25CTRL_MUX
#if SL_MX25CTRL_MUX
sl_status_t sl_wfx_host_spiflash_cs_assert(void)
{
GPIO_PinOutClear(SL_MX25_FLASH_SHUTDOWN_CS_PORT, SL_MX25_FLASH_SHUTDOWN_CS_PIN);
Expand All @@ -275,7 +275,7 @@ sl_status_t sl_wfx_host_spiflash_cs_deassert(void)
GPIO_PinOutSet(SL_MX25_FLASH_SHUTDOWN_CS_PORT, SL_MX25_FLASH_SHUTDOWN_CS_PIN);
return SL_STATUS_OK;
}
#endif // SL_MUX25CTRL_MUX
#endif // SL_MX25CTRL_MUX

#if SL_BTLCTRL_MUX
sl_status_t sl_wfx_host_pre_bootloader_spi_transfer(void)
Expand Down Expand Up @@ -303,9 +303,9 @@ sl_status_t sl_wfx_host_pre_bootloader_spi_transfer(void)
#endif // SL_SPICTRL_MUX
return SL_STATUS_FAIL;
}
#if SL_MUX25CTRL_MUX
#if SL_MX25CTRL_MUX
sl_wfx_host_spiflash_cs_assert();
#endif // SL_MUX25CTRL_MUX
#endif // SL_MX25CTRL_MUX
return SL_STATUS_OK;
}

Expand All @@ -322,9 +322,9 @@ sl_status_t sl_wfx_host_post_bootloader_spi_transfer(void)
return SL_STATUS_FAIL;
}
GPIO->USARTROUTE[SL_MX25_FLASH_SHUTDOWN_PERIPHERAL_NO].ROUTEEN = PINOUT_CLEAR;
#if SL_MUX25CTRL_MUX
#if SL_MX25CTRL_MUX
sl_wfx_host_spiflash_cs_deassert();
#endif // SL_MUX25CTRL_MUX
#endif // SL_MX25CTRL_MUX
#if SL_SPICTRL_MUX
xSemaphoreGive(spi_sem_sync_hdl);
#endif // SL_SPICTRL_MUX
Expand Down
2 changes: 1 addition & 1 deletion examples/platform/silabs/efr32/spi_multiplex.h
Original file line number Diff line number Diff line change
Expand Up @@ -33,7 +33,7 @@
#endif // SL_UARTCTRL_MUX

#ifndef SL_MX25CTRL_MUX
#define SL_MUX25CTRL_MUX (EFR32MG24 && SL_WIFI && CONFIG_USE_EXTERNAL_FLASH)
#define SL_MX25CTRL_MUX (EFR32MG24 && SL_WIFI && CONFIG_USE_EXTERNAL_FLASH)
#endif // SL_MX25CTRL_MUX

#ifndef SL_BTLCTRL_MUX
Expand Down
12 changes: 6 additions & 6 deletions examples/platform/silabs/efr32/wf200/efr_spi.c
Original file line number Diff line number Diff line change
Expand Up @@ -393,7 +393,7 @@ void SPIDRV_SetBaudrate(uint32_t baudrate)
USART_InitSync(MY_USART, &usartInit);
}
#endif // SL_SPICTRL_MUX
#if SL_MUX25CTRL_MUX
#if SL_MX25CTRL_MUX
sl_status_t sl_wfx_host_spiflash_cs_assert(void)
{
GPIO_PinOutClear(SL_MX25_FLASH_SHUTDOWN_CS_PORT, SL_MX25_FLASH_SHUTDOWN_CS_PIN);
Expand All @@ -405,7 +405,7 @@ sl_status_t sl_wfx_host_spiflash_cs_deassert(void)
GPIO_PinOutSet(SL_MX25_FLASH_SHUTDOWN_CS_PORT, SL_MX25_FLASH_SHUTDOWN_CS_PIN);
return SL_STATUS_OK;
}
#endif // SL_MUX25CTRL_MUX
#endif // SL_MX25CTRL_MUX

#if SL_BTLCTRL_MUX
sl_status_t sl_wfx_host_pre_bootloader_spi_transfer(void)
Expand All @@ -417,9 +417,9 @@ sl_status_t sl_wfx_host_pre_bootloader_spi_transfer(void)
* Assert CS pin for EXT SPI Flash
*/
SPIDRV_SetBaudrate(SL_SPIDRV_MX25_FLASH_BITRATE);
#if SL_MUX25CTRL_MUX
#if SL_MX25CTRL_MUX
sl_wfx_host_spiflash_cs_assert();
#endif // SL_MUX25CTRL_MUX
#endif // SL_MX25CTRL_MUX
return SL_STATUS_OK;
}

Expand All @@ -428,9 +428,9 @@ sl_status_t sl_wfx_host_post_bootloader_spi_transfer(void)
/*
* De-Assert CS pin for EXT SPI Flash
*/
#if SL_MUX25CTRL_MUX
#if SL_MX25CTRL_MUX
sl_wfx_host_spiflash_cs_deassert();
#endif // SL_MUX25CTRL_MUX
#endif // SL_MX25CTRL_MUX
#if SL_SPICTRL_MUX
xSemaphoreGive(spi_sem_sync_hdl);
#endif // SL_SPICTRL_MUX
Expand Down

0 comments on commit e82906c

Please sign in to comment.