Skip to content

Commit

Permalink
Merge branch 'project-chip:master' into master
Browse files Browse the repository at this point in the history
  • Loading branch information
selissia authored May 18, 2022
2 parents 2639213 + 927afdf commit 995a07e
Show file tree
Hide file tree
Showing 1,148 changed files with 66,214 additions and 46,249 deletions.
2 changes: 2 additions & 0 deletions .gitattributes
Original file line number Diff line number Diff line change
Expand Up @@ -5,3 +5,5 @@
# And some specific generated files
src/controller/python/chip/clusters/CHIPClusters.py linguist-generated
src/controller/python/chip/clusters/Objects.py linguist-generated
# Let bat file use CRLF linebreak
**/*.bat eol=crlf
3 changes: 3 additions & 0 deletions .github/.wordlist.txt
Original file line number Diff line number Diff line change
Expand Up @@ -428,6 +428,7 @@ dropdown
dryrun
DS
duplicative
DUT
DV
dynload
eabi
Expand Down Expand Up @@ -1272,6 +1273,7 @@ timedInteractionTimeoutMs
TimeFormatLocalization
timeoutMs
TimeSynchronization
Tizen
TKIP
tlsr
TLV
Expand All @@ -1288,6 +1290,7 @@ tos
TotalColiformBacteriaConcentrationMeasurement
totalTests
TotalTrihalomethanesConcentrationMeasurement
TPK
trackAlloc
trackFree
TransferSession
Expand Down
4 changes: 4 additions & 0 deletions .github/workflows/darwin-tests.yaml
Original file line number Diff line number Diff line change
Expand Up @@ -89,6 +89,8 @@ jobs:
--target darwin-x64-chip-tool-darwin-${BUILD_VARIANT} \
--target darwin-x64-all-clusters-${BUILD_VARIANT} \
--target darwin-x64-lock-${BUILD_VARIANT} \
--target darwin-x64-ota-provider-${BUILD_VARIANT} \
--target darwin-x64-ota-requestor-${BUILD_VARIANT} \
--target darwin-x64-tv-app-${BUILD_VARIANT} \
build \
--copy-artifacts-to objdir-clone \
Expand All @@ -104,6 +106,8 @@ jobs:
--iterations 1 \
--all-clusters-app ./out/darwin-x64-all-clusters-${BUILD_VARIANT}/chip-all-clusters-app \
--lock-app ./out/darwin-x64-lock-${BUILD_VARIANT}/chip-lock-app \
--ota-provider-app ./out/darwin-x64-ota-provider-${BUILD_VARIANT}/chip-ota-provider-app \
--ota-requestor-app ./out/darwin-x64-ota-requestor-${BUILD_VARIANT}/chip-ota-requestor-app \
--tv-app ./out/darwin-x64-tv-app-${BUILD_VARIANT}/chip-tv-app \
"
- name: Uploading core files
Expand Down
10 changes: 8 additions & 2 deletions .github/workflows/examples-cc13x2x7_26x2x7.yaml
Original file line number Diff line number Diff line change
Expand Up @@ -15,8 +15,7 @@
name: Build example - TI CC26X2X7

on:
push:
pull_request:
workflow_dispatch:

concurrency:
group: ${{ github.ref }}-${{ github.workflow }}-${{ (github.event_name == 'pull_request' && github.event.number) || (github.event_name == 'workflow_dispatch' && github.run_number) || github.sha }}
Expand Down Expand Up @@ -106,6 +105,13 @@ jobs:
cc13x2_26x2 LP_CC2652R7 all-clusters-app \
out/artifacts/cc13x2x7_26x2x7-all-clusters/chip-LP_CC2652R7-all-clusters-example.out \
/tmp/bloat_reports/
- name: Get Shell App size stats
timeout-minutes: 5
run: |
.environment/pigweed-venv/bin/python3 scripts/tools/memory/gh_sizes.py \
cc13x2_26x2 LP_CC2652R7 shell \
out/artifacts/cc13x2x7_26x2x7-shell/chip-LP_CC2652R7-shell-example.out \
/tmp/bloat_reports/
- name: Uploading Size Reports
uses: actions/upload-artifact@v2
if: ${{ !env.ACT }}
Expand Down
11 changes: 11 additions & 0 deletions .github/workflows/examples-linux-standalone.yaml
Original file line number Diff line number Diff line change
Expand Up @@ -104,6 +104,17 @@ jobs:
linux debug tv-app \
out/tv_app_debug/chip-tv-app \
/tmp/bloat_reports/
- name: Build example Standalone TV Casting App
timeout-minutes: 10
run: |
./scripts/run_in_build_env.sh \
"./scripts/build/build_examples.py \
--target linux-x64-tv-casting-app \
build"
.environment/pigweed-venv/bin/python3 scripts/tools/memory/gh_sizes.py \
linux debug tv-casting-app \
out/linux-x64-tv-casting-app/chip-tv-casting-app \
/tmp/bloat_reports/
- name: Build example lighting app with RPCs
timeout-minutes: 10
run: |
Expand Down
17 changes: 16 additions & 1 deletion .github/workflows/examples-telink.yaml
Original file line number Diff line number Diff line change
Expand Up @@ -57,12 +57,27 @@ jobs:
- name: Build example Telink Lighting App
run: |
./scripts/run_in_build_env.sh \
"./scripts/build/build_examples.py --no-log-timestamps --target-glob 'telink-*' build"
"./scripts/build/build_examples.py --no-log-timestamps --target 'telink-tlsr9518adk80d-light' build"
- name: Build example Telink Light Switch App
run: |
./scripts/run_in_build_env.sh \
"./scripts/build/build_examples.py --no-log-timestamps --target 'telink-tlsr9518adk80d-light-switch' build"
- name: Get Lighting size stats
run: |
.environment/pigweed-venv/bin/python3 scripts/tools/memory/gh_sizes.py \
telink tlsr9518adk80d lighting-app \
out/telink-tlsr9518adk80d-light/zephyr/zephyr.elf \
/tmp/bloat_reports/
- name: Get Light Switch size stats
run: |
.environment/pigweed-venv/bin/python3 scripts/tools/memory/gh_sizes.py \
telink tlsr9518adk80d light-switch-app \
out/telink-tlsr9518adk80d-light-switch/zephyr/zephyr.elf \
/tmp/bloat_reports/
- name: Uploading Size Reports
uses: actions/upload-artifact@v2
if: ${{ !env.ACT }}
Expand Down
10 changes: 9 additions & 1 deletion .github/workflows/tests.yaml
Original file line number Diff line number Diff line change
Expand Up @@ -83,6 +83,8 @@ jobs:
--target linux-x64-chip-tool${CHIP_TOOL_VARIANT}-${BUILD_VARIANT} \
--target linux-x64-all-clusters-${BUILD_VARIANT} \
--target linux-x64-lock-${BUILD_VARIANT} \
--target linux-x64-ota-provider-${BUILD_VARIANT} \
--target linux-x64-ota-requestor-${BUILD_VARIANT} \
--target linux-x64-tv-app-${BUILD_VARIANT} \
build \
--copy-artifacts-to objdir-clone \
Expand All @@ -97,6 +99,8 @@ jobs:
--iterations 1 \
--all-clusters-app ./out/linux-x64-all-clusters-${BUILD_VARIANT}/chip-all-clusters-app \
--lock-app ./out/linux-x64-lock-${BUILD_VARIANT}/chip-lock-app \
--ota-provider-app ./out/linux-x64-ota-provider-${BUILD_VARIANT}/chip-ota-provider-app \
--ota-requestor-app ./out/linux-x64-ota-requestor-${BUILD_VARIANT}/chip-ota-requestor-app \
--tv-app ./out/linux-x64-tv-app-${BUILD_VARIANT}/chip-tv-app \
"
- name: Uploading core files
Expand Down Expand Up @@ -179,6 +183,8 @@ jobs:
--target darwin-x64-chip-tool${CHIP_TOOL_VARIANT}-${BUILD_VARIANT} \
--target darwin-x64-all-clusters-${BUILD_VARIANT} \
--target darwin-x64-lock-${BUILD_VARIANT} \
--target darwin-x64-ota-provider-${BUILD_VARIANT} \
--target darwin-x64-ota-requestor-${BUILD_VARIANT} \
--target darwin-x64-tv-app-${BUILD_VARIANT} \
build \
--copy-artifacts-to objdir-clone \
Expand All @@ -194,6 +200,8 @@ jobs:
--iterations 1 \
--all-clusters-app ./out/darwin-x64-all-clusters-${BUILD_VARIANT}/chip-all-clusters-app \
--lock-app ./out/darwin-x64-lock-${BUILD_VARIANT}/chip-lock-app \
--ota-provider-app ./out/darwin-x64-ota-provider-${BUILD_VARIANT}/chip-ota-provider-app \
--ota-requestor-app ./out/darwin-x64-ota-requestor-${BUILD_VARIANT}/chip-ota-requestor-app \
--tv-app ./out/darwin-x64-tv-app-${BUILD_VARIANT}/chip-tv-app \
"
- name: Uploading core files
Expand Down Expand Up @@ -272,7 +280,7 @@ jobs:
- name: Run Tests
timeout-minutes: 30
run: |
scripts/run_in_build_env.sh './scripts/tests/run_python_test.py --app out/linux-x64-all-clusters-no-ble-no-wifi-tsan-clang-test/chip-all-clusters-app --factoryreset --script-args "-t 3600 --disable-test ClusterObjectTests.TestTimedRequestTimeout"'
scripts/run_in_build_env.sh './scripts/tests/run_python_test.py --app out/linux-x64-all-clusters-no-ble-no-wifi-tsan-clang-test/chip-all-clusters-app --factoryreset --script-args "--log-level INFO -t 3600 --disable-test ClusterObjectTests.TestTimedRequestTimeout"'
- name: Uploading core files
uses: actions/upload-artifact@v2
if: ${{ failure() }} && ${{ !env.ACT }}
Expand Down
1 change: 1 addition & 0 deletions .vscode/tasks.json
Original file line number Diff line number Diff line change
Expand Up @@ -374,6 +374,7 @@
"nrf-nrf5340-shell",
"qpg-qpg6100-lock",
"telink-tlsr9518adk80d-light",
"telink-tlsr9518adk80d-light-switch",
"tizen-arm-light"
]
}
Expand Down
Original file line number Diff line number Diff line change
@@ -1,4 +1,4 @@
# Copyright (c) 2021 Project CHIP Authors
# Copyright (c) 2020 Project CHIP Authors
#
# Licensed under the Apache License, Version 2.0 (the "License");
# you may not use this file except in compliance with the License.
Expand All @@ -12,11 +12,6 @@
# See the License for the specific language governing permissions and
# limitations under the License.

# add this gni as import in your build args to use tizen in the example
# 'import("//with_tizen.gni")'

import("//build_overrides/chip.gni")

import("${chip_root}/config/tizen/chip-gn/args.gni")

current_os = "tizen"
declare_args() {
jsoncpp_root = "//third_party/jsoncpp"
}
3 changes: 3 additions & 0 deletions config/esp32/components/chip/CMakeLists.txt
Original file line number Diff line number Diff line change
Expand Up @@ -40,6 +40,7 @@ if (NOT CMAKE_BUILD_EARLY_EXPANSION)
if (NOT CONFIG_COMPILER_OPTIMIZATION_ASSERTIONS_DISABLE)
message(FATAL_ERROR "CONFIG_COMPILER_OPTIMIZATION_ASSERTIONS_DISABLE shall be set")
endif()
set(is_debug FALSE)
endif()
endif()

Expand Down Expand Up @@ -101,6 +102,8 @@ endif()

if (NOT CONFIG_USE_MINIMAL_MDNS)
chip_gn_arg_append("chip_mdns" "\"platform\"")
else()
chip_gn_arg_append("chip_mdns" "\"minimal\"")
endif()

if (CONFIG_ENABLE_CHIP_SHELL)
Expand Down
5 changes: 5 additions & 0 deletions config/esp32/components/chip/Kconfig
Original file line number Diff line number Diff line change
Expand Up @@ -510,6 +510,11 @@ menu "CHIP Device Layer"
Automatically disable CHIP-over-BLE (WoBLE) advertising when the device transitions
to a fully provisioned state.

config DEINIT_BLE_ON_COMMISSIONING_COMPLETE
bool "Disable and DeInit BLE on commissioning complete"
default y
help
Disable and deinit BLE and reclaim all its memory, once the commissioning is successful and Commissioning complete event is received in the application.
endmenu

menu "CHIP Thread Options"
Expand Down
5 changes: 5 additions & 0 deletions config/nrfconnect/chip-module/CMakeLists.txt
Original file line number Diff line number Diff line change
Expand Up @@ -229,6 +229,11 @@ if (CONFIG_CHIP_ENABLE_DNSSD_SRP)
chip_gn_arg_string("chip_mdns" "platform")
endif()

if (CONFIG_CHIP_FIRMWARE_BUILD_UNIX_TIME)
string(TIMESTAMP CHIP_FIRMWARE_BUILD_UNIX_TIME "%s")
chip_gn_arg_string("chip_device_config_firmware_build_unix_time" ${CHIP_FIRMWARE_BUILD_UNIX_TIME})
endif()

if (CHIP_PROJECT_CONFIG)
chip_gn_arg_string("chip_project_config_include" ${CHIP_PROJECT_CONFIG})
chip_gn_arg_string("chip_system_project_config_include" ${CHIP_PROJECT_CONFIG})
Expand Down
7 changes: 7 additions & 0 deletions config/zephyr/Kconfig
Original file line number Diff line number Diff line change
Expand Up @@ -209,6 +209,13 @@ config CHIP_MALLOC_SYS_HEAP_SIZE

endif

config CHIP_FIRMWARE_BUILD_UNIX_TIME
bool "Make Unix time of compilation available in source code"
default y
help
When enabled, the Unix time of the firmware build is exposed to the
source code and used to initialize the last known UTC time.

config APP_LINK_WITH_CHIP
bool "Link 'app' with Connected Home over IP"
default y
Expand Down
Binary file not shown.
Binary file not shown.
Original file line number Diff line number Diff line change
@@ -0,0 +1,13 @@
-----BEGIN CERTIFICATE-----
MIIB5DCCAYqgAwIBAgIIDtJw5lBJd2IwCgYIKoZIzj0EAwIwRjEYMBYGA1UEAwwP
TWF0dGVyIFRlc3QgUEFJMRQwEgYKKwYBBAGConwCAQwERkZGMTEUMBIGCisGAQQB
gqJ8AgIMBDgwMDAwIBcNMjIwNTEzMDAwMDAwWhgPOTk5OTEyMzEyMzU5NTlaMEYx
GDAWBgNVBAMMD01hdHRlciBUZXN0IERBQzEUMBIGCisGAQQBgqJ8AgEMBEZGRjEx
FDASBgorBgEEAYKifAICDAQ4MDAwMFkwEwYHKoZIzj0CAQYIKoZIzj0DAQcDQgAE
aHdm7IC/HRIUD7pJ9BGAbZrjoLqtusIoXHEvNtH337dSpJ33XmTurNOVM5djDaDQ
JCyTMdJRGPzyW9w3NSvWc6NgMF4wDAYDVR0TAQH/BAIwADAOBgNVHQ8BAf8EBAMC
B4AwHQYDVR0OBBYEFP5jEcg1BvluzHKajDenbFY3MWfeMB8GA1UdIwQYMBaAFI7a
GmEQADreTTAcq0PbQI4sd/oUMAoGCCqGSM49BAMCA0gAMEUCIEayqWJRPerLDxbG
9lbZPDYAHcMM0Y9uCnI7pk+ViPBrAiEA+UYtsuqD6UMmZuSqSuq5DbsSPlQRSOZc
An01lyXwtbk=
-----END CERTIFICATE-----
Binary file not shown.
Original file line number Diff line number Diff line change
@@ -0,0 +1,5 @@
-----BEGIN EC PRIVATE KEY-----
MHcCAQEEICGpPB4uswCmHUOinM96MynNntO/Yr1Fw8T2Ep5siSncoAoGCCqGSM49
AwEHoUQDQgAEaHdm7IC/HRIUD7pJ9BGAbZrjoLqtusIoXHEvNtH337dSpJ33XmTu
rNOVM5djDaDQJCyTMdJRGPzyW9w3NSvWcw==
-----END EC PRIVATE KEY-----
Binary file not shown.
Original file line number Diff line number Diff line change
@@ -0,0 +1,12 @@
-----BEGIN CERTIFICATE-----
MIIB1DCCAXqgAwIBAgIIG+yCQ0e7q7cwCgYIKoZIzj0EAwIwMDEYMBYGA1UEAwwP
TWF0dGVyIFRlc3QgUEFBMRQwEgYKKwYBBAGConwCAQwERkZGMTAgFw0yMjA1MTMw
MDAwMDBaGA85OTk5MTIzMTIzNTk1OVowRjEYMBYGA1UEAwwPTWF0dGVyIFRlc3Qg
UEFJMRQwEgYKKwYBBAGConwCAQwERkZGMTEUMBIGCisGAQQBgqJ8AgIMBDgwMDAw
WTATBgcqhkjOPQIBBggqhkjOPQMBBwNCAAQtjUKXG5iMToM+b9qyITgksM1W3FDF
7X44zEiDpmI3o4OS/wegQFjhG60UDzpElL0fpWWpKaIa5K7/F6vcTse/o2YwZDAS
BgNVHRMBAf8ECDAGAQH/AgEBMA4GA1UdDwEB/wQEAwIBBjAdBgNVHQ4EFgQUjtoa
YRAAOt5NMByrQ9tAjix3+hQwHwYDVR0jBBgwFoAUav0idx9RH+y/FkGXZxDc3DGh
cX4wCgYIKoZIzj0EAwIDSAAwRQIhAOJyYz0uUlxhw9hVExzpW84h3aolmWw93SAx
Udds3NxvAiAs7MlDu7sw4iNL51MgwBYRa3MyVV8BUyU6dS7E02iwnQ==
-----END CERTIFICATE-----
Binary file not shown.
Original file line number Diff line number Diff line change
@@ -0,0 +1,5 @@
-----BEGIN EC PRIVATE KEY-----
MHcCAQEEIJeiWx406I1+OsL0h1xWOY93lhbyZLoZQTMhJyyZ5LhQoAoGCCqGSM49
AwEHoUQDQgAELY1ClxuYjE6DPm/asiE4JLDNVtxQxe1+OMxIg6ZiN6ODkv8HoEBY
4RutFA86RJS9H6VlqSmiGuSu/xer3E7Hvw==
-----END EC PRIVATE KEY-----
Original file line number Diff line number Diff line change
@@ -0,0 +1,9 @@
{
"description": "CD Test Vector: The authorized_paa_list contains one valid PAA which is authorized to sign the PAI.",
"is_success_case": "false",
"dac_cert": "308201e43082018aa00302010202080ed270e650497762300a06082a8648ce3d04030230463118301606035504030c0f4d617474657220546573742050414931143012060a2b0601040182a27c02010c044646463131143012060a2b0601040182a27c02020c04383030303020170d3232303531333030303030305a180f39393939313233313233353935395a30463118301606035504030c0f4d617474657220546573742044414331143012060a2b0601040182a27c02010c044646463131143012060a2b0601040182a27c02020c04383030303059301306072a8648ce3d020106082a8648ce3d03010703420004687766ec80bf1d12140fba49f411806d9ae3a0baadbac2285c712f36d1f7dfb752a49df75e64eeacd3953397630da0d0242c9331d25118fcf25bdc37352bd673a360305e300c0603551d130101ff04023000300e0603551d0f0101ff040403020780301d0603551d0e04160414fe6311c83506f96ecc729a8c37a76c56373167de301f0603551d230418301680148eda1a6110003ade4d301cab43db408e2c77fa14300a06082a8648ce3d0403020348003045022046b2a962513deacb0f16c6f656d93c36001dc30cd18f6e0a723ba64f9588f06b022100f9462db2ea83e9432666e4aa4aeab90dbb123e541148e65c027d359725f0b5b9",
"pai_cert": "308201d43082017aa00302010202081bec824347bbabb7300a06082a8648ce3d04030230303118301606035504030c0f4d617474657220546573742050414131143012060a2b0601040182a27c02010c04464646313020170d3232303531333030303030305a180f39393939313233313233353935395a30463118301606035504030c0f4d617474657220546573742050414931143012060a2b0601040182a27c02010c044646463131143012060a2b0601040182a27c02020c04383030303059301306072a8648ce3d020106082a8648ce3d030107034200042d8d42971b988c4e833e6fdab2213824b0cd56dc50c5ed7e38cc4883a66237a38392ff07a04058e11bad140f3a4494bd1fa565a929a21ae4aeff17abdc4ec7bfa366306430120603551d130101ff040830060101ff020101300e0603551d0f0101ff040403020106301d0603551d0e041604148eda1a6110003ade4d301cab43db408e2c77fa14301f0603551d230418301680146afd22771f511fecbf1641976710dcdc31a1717e300a06082a8648ce3d0403020348003045022100e272633d2e525c61c3d855131ce95bce21ddaa25996c3ddd203151d76cdcdc6f02202cecc943bbbb30e2234be75320c016116b7332555f0153253a752ec4d368b09d",
"certification_declaration": "3081ec06092a864886f70d010702a081de3081db020103310d300b0609608648016503040201304806092a864886f70d010701a03b0439152000012501f1ff360205008018250334122c04135a494732303134315a423333303030312d323424050024060025077698240800360b1818317d307b020103801462fa823359acfaa9963e1cfa140addf504f37160300b0609608648016503040201300a06082a8648ce3d04030204473045022100eb42d6fe79c28db107bb6564695e2b53c990b500b97587eea57accb8034016a802202d2c21557fe74e1cba1444f14e844c79200d495fd86d28c1194966e7a0e0049b",
"dac_private_key": "21a93c1e2eb300a61d43a29ccf7a3329cd9ed3bf62bd45c3c4f6129e6c8929dc",
"dac_public_key": "04687766ec80bf1d12140fba49f411806d9ae3a0baadbac2285c712f36d1f7dfb752a49df75e64eeacd3953397630da0d0242c9331d25118fcf25bdc37352bd673"
}
Binary file not shown.
Binary file not shown.
Original file line number Diff line number Diff line change
@@ -0,0 +1,13 @@
-----BEGIN CERTIFICATE-----
MIIB5DCCAYqgAwIBAgIIK8pbdgy1VcgwCgYIKoZIzj0EAwIwRjEYMBYGA1UEAwwP
TWF0dGVyIFRlc3QgUEFJMRQwEgYKKwYBBAGConwCAQwERkZGMTEUMBIGCisGAQQB
gqJ8AgIMBDgwMDAwIBcNMjIwNTEzMDAwMDAwWhgPOTk5OTEyMzEyMzU5NTlaMEYx
GDAWBgNVBAMMD01hdHRlciBUZXN0IERBQzEUMBIGCisGAQQBgqJ8AgEMBEZGRjEx
FDASBgorBgEEAYKifAICDAQ4MDAwMFkwEwYHKoZIzj0CAQYIKoZIzj0DAQcDQgAE
Rj6Qncdf/SueNI8ZRxZNtM/NC1DZ9DzNIPHfSf+t0bNP6x4zUzOPeav//tyhqC/j
VXKkpgOAa0bVbR5kAKKE4qNgMF4wDAYDVR0TAQH/BAIwADAOBgNVHQ8BAf8EBAMC
B4AwHQYDVR0OBBYEFAHOVpBnnpk6BcVnpCA+7NdUGsUhMB8GA1UdIwQYMBaAFCqm
Z1/xFOE20znvG+X0ec+jrdTKMAoGCCqGSM49BAMCA0gAMEUCIQCEREcr7Lzqn5ai
yT7WtN3tEgagSBgArTRJup7Spfri8QIgXsX5Y0+GP5E4aAIh6MAsvIn/SOVycnk0
ZMOoZML5u1g=
-----END CERTIFICATE-----
Binary file not shown.
Original file line number Diff line number Diff line change
@@ -0,0 +1,5 @@
-----BEGIN EC PRIVATE KEY-----
MHcCAQEEIMhqmLcYCUX/E7Pfe7VlQyQKRmEPEJ7LJMldfCgaVCa8oAoGCCqGSM49
AwEHoUQDQgAERj6Qncdf/SueNI8ZRxZNtM/NC1DZ9DzNIPHfSf+t0bNP6x4zUzOP
eav//tyhqC/jVXKkpgOAa0bVbR5kAKKE4g==
-----END EC PRIVATE KEY-----
Binary file not shown.
Original file line number Diff line number Diff line change
@@ -0,0 +1,12 @@
-----BEGIN CERTIFICATE-----
MIIB1DCCAXqgAwIBAgIIG8kqUmYTRaYwCgYIKoZIzj0EAwIwMDEYMBYGA1UEAwwP
TWF0dGVyIFRlc3QgUEFBMRQwEgYKKwYBBAGConwCAQwERkZGMTAgFw0yMjA1MTMw
MDAwMDBaGA85OTk5MTIzMTIzNTk1OVowRjEYMBYGA1UEAwwPTWF0dGVyIFRlc3Qg
UEFJMRQwEgYKKwYBBAGConwCAQwERkZGMTEUMBIGCisGAQQBgqJ8AgIMBDgwMDAw
WTATBgcqhkjOPQIBBggqhkjOPQMBBwNCAASWb8VOfeZJ7r1OSB68PiboYRviYGy3
RL4ePhIb/kcx3e4wFrLGPGHvv+ob52vjel6yABOfYVzhoL+mDikTcwL/o2YwZDAS
BgNVHRMBAf8ECDAGAQH/AgEBMA4GA1UdDwEB/wQEAwIBBjAdBgNVHQ4EFgQUKqZn
X/EU4TbTOe8b5fR5z6Ot1MowHwYDVR0jBBgwFoAUav0idx9RH+y/FkGXZxDc3DGh
cX4wCgYIKoZIzj0EAwIDSAAwRQIhAIYxtlFKXyQ/qrFj4/e7eMoR7OzIld2u680v
6+fujQ3yAiBUC1rWdBazLJJdVTWTuxxXC+pgMQXmJTEM1Igi+odjWg==
-----END CERTIFICATE-----
Binary file not shown.
Original file line number Diff line number Diff line change
@@ -0,0 +1,5 @@
-----BEGIN EC PRIVATE KEY-----
MHcCAQEEIOYZnNFrOFWzHr8wf7ZA2nTFvclJqCTAHQ2RmPuvw541oAoGCCqGSM49
AwEHoUQDQgAElm/FTn3mSe69TkgevD4m6GEb4mBst0S+Hj4SG/5HMd3uMBayxjxh
77/qG+dr43pesgATn2Fc4aC/pg4pE3MC/w==
-----END EC PRIVATE KEY-----
Loading

0 comments on commit 995a07e

Please sign in to comment.