Skip to content

Commit

Permalink
[CIRCT] Bump version to 1.59.1.dev51 (#1340)
Browse files Browse the repository at this point in the history
  • Loading branch information
leonardt authored Nov 28, 2023
1 parent 2ebfa04 commit 7eb828d
Show file tree
Hide file tree
Showing 8 changed files with 1,384 additions and 1,057 deletions.
2,368 changes: 1,346 additions & 1,022 deletions examples/riscv_mini/tests/gold/test_riscv_mini_unflattened_tuples.v

Large diffs are not rendered by default.

2 changes: 1 addition & 1 deletion setup.py
Original file line number Diff line number Diff line change
Expand Up @@ -45,7 +45,7 @@
"staticfg",
"networkx",
"uinspect",
"circt==1.58.0",
"circt==1.59.1.dev51",
],
python_requires='>=3.6',
long_description=long_description,
Expand Down
16 changes: 8 additions & 8 deletions tests/test_backend/test_mlir/golds/complex_lut.v
Original file line number Diff line number Diff line change
Expand Up @@ -3,14 +3,14 @@ module LUT(
output struct packed {logic [7:0] x; logic y; }[1:0] O
);

wire [3:0] _GEN = {1'h1, 1'h1, 1'h0, 1'h0};
wire [3:0] _GEN_0 = {1'h0, 1'h1, 1'h1, 1'h1};
wire [3:0] _GEN_1 = {1'h0, 1'h1, 1'h1, 1'h0};
wire [3:0] _GEN_2 = {1'h1, 1'h0, 1'h0, 1'h0};
wire [3:0] _GEN_3 = {1'h0, 1'h1, 1'h0, 1'h1};
wire [3:0] _GEN_4 = {1'h1, 1'h0, 1'h1, 1'h1};
wire [3:0] _GEN_5 = {1'h1, 1'h1, 1'h1, 1'h0};
wire [3:0] _GEN_6 = {1'h0, 1'h0, 1'h1, 1'h0};
wire [3:0] _GEN = '{1'h1, 1'h1, 1'h0, 1'h0};
wire [3:0] _GEN_0 = '{1'h0, 1'h1, 1'h1, 1'h1};
wire [3:0] _GEN_1 = '{1'h0, 1'h1, 1'h1, 1'h0};
wire [3:0] _GEN_2 = '{1'h1, 1'h0, 1'h0, 1'h0};
wire [3:0] _GEN_3 = '{1'h0, 1'h1, 1'h0, 1'h1};
wire [3:0] _GEN_4 = '{1'h1, 1'h0, 1'h1, 1'h1};
wire [3:0] _GEN_5 = '{1'h1, 1'h1, 1'h1, 1'h0};
wire [3:0] _GEN_6 = '{1'h0, 1'h0, 1'h1, 1'h0};
wire struct packed {logic [7:0] x; logic y; } _GEN_7 =
'{x: {1'h0, _GEN_1[I], _GEN_6[I], _GEN_2[I], 1'h1, _GEN_4[I], 1'h1, _GEN_0[I]},
y: _GEN_5[I]};
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -25,7 +25,7 @@ module complex_register_wrapper(
end // always_ff @(posedge)
initial begin
Register_inst0 = '{x: 8'hA, y: 1'h1};
Register_inst1 = {16'h0, 16'h2, 16'h4, 16'h6, 16'h8, 16'hA};
Register_inst1 = '{16'h0, 16'h2, 16'h4, 16'h6, 16'h8, 16'hA};
Register_inst2 = 8'h0;
end // initial
assign y = '{u: Register_inst0, v: Register_inst1};
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -34,7 +34,7 @@ module complex_register_wrapper(
initial begin
Register_inst0 = 8'hA;
Register_inst0_0 = 1'h1;
Register_inst1 = {16'h0, 16'h2, 16'h4, 16'h6, 16'h8, 16'hA};
Register_inst1 = '{16'h0, 16'h2, 16'h4, 16'h6, 16'h8, 16'hA};
Register_inst2 = 8'h0;
end // initial
assign y_u_x = Register_inst0;
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -6,23 +6,25 @@ module simple_disallow_local_variables(

reg [1:0] _GEN;
always_comb begin
if (s)
_GEN = ~x;
if (s) begin
automatic logic [1:0] _GEN_0 = ~x;
_GEN = {_GEN_0[1], _GEN_0[0]};
end
else
_GEN = x;
_GEN = {x[1], x[0]};
end // always_comb
reg _GEN_0;
reg _GEN_1;
reg _GEN_2;
always_comb begin
if (~s) begin
_GEN_0 = _GEN[1];
_GEN_1 = _GEN[0];
_GEN_1 = _GEN[1];
_GEN_2 = _GEN[0];
end
else begin
_GEN_0 = _GEN[0];
_GEN_1 = _GEN[1];
_GEN_1 = _GEN[0];
_GEN_2 = _GEN[1];
end
end // always_comb
assign O = {_GEN_1, _GEN_0};
assign O = {_GEN_2, _GEN_1};
endmodule

Original file line number Diff line number Diff line change
Expand Up @@ -4,25 +4,26 @@ module simple_disallow_local_variables(
output [1:0] O
);

reg [1:0] _GEN;
reg [1:0] _GEN;
wire [1:0] _GEN_0 = ~x;
always_comb begin
if (s)
_GEN = ~x;
_GEN = {_GEN_0[1], _GEN_0[0]};
else
_GEN = x;
_GEN = {x[1], x[0]};
end // always_comb
reg _GEN_0;
reg _GEN_1;
reg _GEN_1;
reg _GEN_2;
always_comb begin
if (~s) begin
_GEN_0 = _GEN[1];
_GEN_1 = _GEN[0];
_GEN_1 = _GEN[1];
_GEN_2 = _GEN[0];
end
else begin
_GEN_0 = _GEN[0];
_GEN_1 = _GEN[1];
_GEN_1 = _GEN[0];
_GEN_2 = _GEN[1];
end
end // always_comb
assign O = {_GEN_1, _GEN_0};
assign O = {_GEN_2, _GEN_1};
endmodule

10 changes: 5 additions & 5 deletions tests/test_backend/test_mlir/golds/simple_lut.v
Original file line number Diff line number Diff line change
Expand Up @@ -3,11 +3,11 @@ module LUT(
output [7:0] O
);

wire [3:0] _GEN = {1'h1, 1'h0, 1'h0, 1'h1};
wire [3:0] _GEN_0 = {1'h1, 1'h1, 1'h1, 1'h0};
wire [3:0] _GEN_1 = {1'h0, 1'h1, 1'h0, 1'h1};
wire [3:0] _GEN_2 = {1'h1, 1'h1, 1'h0, 1'h1};
wire [3:0] _GEN_3 = {1'h1, 1'h0, 1'h1, 1'h0};
wire [3:0] _GEN = '{1'h1, 1'h0, 1'h0, 1'h1};
wire [3:0] _GEN_0 = '{1'h1, 1'h1, 1'h1, 1'h0};
wire [3:0] _GEN_1 = '{1'h0, 1'h1, 1'h0, 1'h1};
wire [3:0] _GEN_2 = '{1'h1, 1'h1, 1'h0, 1'h1};
wire [3:0] _GEN_3 = '{1'h1, 1'h0, 1'h1, 1'h0};
assign O = {1'h1, _GEN[I], _GEN_0[I], _GEN_1[I], 2'h3, _GEN_2[I], _GEN_3[I]};
endmodule

Expand Down

0 comments on commit 7eb828d

Please sign in to comment.