Skip to content

Commit 11b9290

Browse files
committed
SPI SLAVE [MAINTENANCE]: Improve ports comments
1 parent 09061a5 commit 11b9290

File tree

1 file changed

+5
-5
lines changed

1 file changed

+5
-5
lines changed

rtl/spi_slave.vhd

Lines changed: 5 additions & 5 deletions
Original file line numberDiff line numberDiff line change
@@ -45,11 +45,11 @@ entity SPI_SLAVE is
4545
MOSI : in std_logic; -- SPI serial data from master to slave
4646
MISO : out std_logic; -- SPI serial data from slave to master
4747
-- USER INTERFACE
48-
DIN : in std_logic_vector(WORD_SIZE-1 downto 0); -- input data for SPI master
49-
DIN_VLD : in std_logic; -- when DIN_VLD = 1, input data are valid
50-
DIN_RDY : out std_logic; -- when DIN_RDY = 1, valid input data are accept
51-
DOUT : out std_logic_vector(WORD_SIZE-1 downto 0); -- output data from SPI master
52-
DOUT_VLD : out std_logic -- when DOUT_VLD = 1, output data are valid
48+
DIN : in std_logic_vector(WORD_SIZE-1 downto 0); -- data for transmission to SPI master
49+
DIN_VLD : in std_logic; -- when DIN_VLD = 1, data for transmission are valid
50+
DIN_RDY : out std_logic; -- when DIN_RDY = 1, SPI slave is ready to accept valid data for transmission
51+
DOUT : out std_logic_vector(WORD_SIZE-1 downto 0); -- received data from SPI master
52+
DOUT_VLD : out std_logic -- when DOUT_VLD = 1, received data are valid
5353
);
5454
end entity;
5555

0 commit comments

Comments
 (0)