Skip to content

Commit 09061a5

Browse files
committed
SPI MASTER [MAINTENANCE]: Improve ports comments
1 parent d0bf49a commit 09061a5

File tree

1 file changed

+6
-6
lines changed

1 file changed

+6
-6
lines changed

rtl/spi_master.vhd

Lines changed: 6 additions & 6 deletions
Original file line numberDiff line numberDiff line change
@@ -48,14 +48,14 @@ entity SPI_MASTER is
4848
MOSI : out std_logic; -- SPI serial data from master to slave
4949
MISO : in std_logic; -- SPI serial data from slave to master
5050
-- INPUT USER INTERFACE
51+
DIN : in std_logic_vector(WORD_SIZE-1 downto 0); -- data for transmission to SPI slave
5152
DIN_ADDR : in std_logic_vector(natural(ceil(log2(real(SLAVE_COUNT))))-1 downto 0); -- SPI slave address
52-
DIN : in std_logic_vector(WORD_SIZE-1 downto 0); -- input data for SPI slave
53-
DIN_LAST : in std_logic; -- when DIN_LAST = 1, after transmit these input data is asserted CS_N
54-
DIN_VLD : in std_logic; -- when DIN_VLD = 1, input data are valid
55-
DIN_RDY : out std_logic; -- when DIN_RDY = 1, valid input data are accept
53+
DIN_LAST : in std_logic; -- when DIN_LAST = 1, last data word, after transmit will be asserted CS_N
54+
DIN_VLD : in std_logic; -- when DIN_VLD = 1, data for transmission are valid
55+
DIN_RDY : out std_logic; -- when DIN_RDY = 1, SPI master is ready to accept valid data for transmission
5656
-- OUTPUT USER INTERFACE
57-
DOUT : out std_logic_vector(WORD_SIZE-1 downto 0); -- output data from SPI slave
58-
DOUT_VLD : out std_logic -- when DOUT_VLD = 1, output data are valid
57+
DOUT : out std_logic_vector(WORD_SIZE-1 downto 0); -- received data from SPI slave
58+
DOUT_VLD : out std_logic -- when DOUT_VLD = 1, received data are valid
5959
);
6060
end entity;
6161

0 commit comments

Comments
 (0)