Skip to content

assertion failed: tree_output.status.success() in some binary-only targets #530

Open

Description

I'm in the process of trying to upgrade the version of cargo raze to 0.16 from 0.15 in a repo I am a part of, but when I upgrade, I consistently get the error thread 'main' panicked at 'assertion failed: tree_output.status.success()', src/features.rs:149:3 (I'll leave a full stack trace below).

Through bisecting, I've been able to narrow it down to 743bd26 (aka #478). What I don't understand is, what does this error mean, and why would my repo generate this when it worked fine in 0.15? Is this some thing we're doing wrong in our Cargo.toml, or is this a bug?

Backtrace:

thread 'main' panicked at 'assertion failed: tree_output.status.success()', src/features.rs:149:3
stack backtrace:
   0: rust_begin_unwind
             at /rustc/4b91a6ea7258a947e59c6522cd5898e7c0a6a88f/library/std/src/panicking.rs:584:5
   1: core::panicking::panic_fmt
             at /rustc/4b91a6ea7258a947e59c6522cd5898e7c0a6a88f/library/core/src/panicking.rs:142:14
   2: core::panicking::panic
             at /rustc/4b91a6ea7258a947e59c6522cd5898e7c0a6a88f/library/core/src/panicking.rs:48:5
   3: cargo_raze::features::run_cargo_tree
             at ./src/features.rs:149:3
   4: cargo_raze::features::get_per_platform_features_with_command
             at ./src/features.rs:99:34
   5: cargo_raze::features::get_per_platform_features
             at ./src/features.rs:68:3
   6: cargo_raze::metadata::RazeMetadataFetcher::fetch_metadata
             at ./src/metadata.rs:505:25
   7: cargo_raze::fetch_raze_metadata
             at ./src/bin/cargo-raze.rs:215:23
   8: cargo_raze::main
             at ./src/bin/cargo-raze.rs:92:23
   9: core::ops::function::FnOnce::call_once
             at /rustc/4b91a6ea7258a947e59c6522cd5898e7c0a6a88f/library/core/src/ops/function.rs:248:5

Minimum reproducible example:
https://github.com/rdelfin/rust-bazel-test

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment

Metadata

Assignees

No one assigned

    Labels

    No labels
    No labels

    Type

    No type

    Projects

    No projects

    Milestone

    No milestone

    Relationships

    None yet

    Development

    No branches or pull requests

    Issue actions