Skip to content

Commit

Permalink
Update GitHub workflows
Browse files Browse the repository at this point in the history
  • Loading branch information
gmlarumbe committed Aug 7, 2024
1 parent 1afb6bb commit eebe403
Show file tree
Hide file tree
Showing 5 changed files with 4 additions and 40 deletions.
1 change: 1 addition & 0 deletions .github/workflows/build_package_melpa_basic.yml
Original file line number Diff line number Diff line change
Expand Up @@ -15,6 +15,7 @@ jobs:
- 29.1
- 29.2
- 29.3
- 29.4
steps:
- name: Set up Emacs
uses: purcell/setup-emacs@master
Expand Down
1 change: 1 addition & 0 deletions .github/workflows/build_package_melpa_stable.yml
Original file line number Diff line number Diff line change
Expand Up @@ -15,6 +15,7 @@ jobs:
- 29.1
- 29.2
- 29.3
- 29.4
steps:
- name: Set up Emacs
uses: purcell/setup-emacs@master
Expand Down
5 changes: 1 addition & 4 deletions .github/workflows/build_straight.yml
Original file line number Diff line number Diff line change
Expand Up @@ -19,10 +19,7 @@ jobs:
- 29.3
- 29.4
- release-snapshot
# INFO: At some point, in Emacs 30 the 'pp' function, which all
# tests rely on to generate the ref file, changed how objects are
# displayed, making many tests fail.
# Therefore, moved the snapshot to its own workflow out of regular CI
- snapshot
steps:
- name: Set up Emacs
uses: purcell/setup-emacs@master
Expand Down
35 changes: 0 additions & 35 deletions .github/workflows/build_straight_snapshot.yml

This file was deleted.

2 changes: 1 addition & 1 deletion test-hdl
Submodule test-hdl updated 68 files
+2 −1 test-hdl-common.el
+789 −0 vhdl/files/beautify/vhdl-ts-mode/ref/axi_if_converter.beauty.app.vhd
+789 −0 vhdl/files/beautify/vhdl-ts-mode/ref/axi_if_converter.beauty.block.app.vhd
+789 −0 vhdl/files/beautify/vhdl-ts-mode/ref/axi_if_converter.beauty.block.vhd
+789 −0 vhdl/files/beautify/vhdl-ts-mode/ref/axi_if_converter.beauty.vhd
+60 −0 vhdl/files/beautify/vhdl-ts-mode/ref/global_pkg.beauty.app.vhd
+60 −0 vhdl/files/beautify/vhdl-ts-mode/ref/global_pkg.beauty.block.app.vhd
+60 −0 vhdl/files/beautify/vhdl-ts-mode/ref/global_pkg.beauty.block.vhd
+60 −0 vhdl/files/beautify/vhdl-ts-mode/ref/global_pkg.beauty.vhd
+265 −0 vhdl/files/beautify/vhdl-ts-mode/ref/global_sim.beauty.app.vhd
+265 −0 vhdl/files/beautify/vhdl-ts-mode/ref/global_sim.beauty.block.app.vhd
+265 −0 vhdl/files/beautify/vhdl-ts-mode/ref/global_sim.beauty.block.vhd
+265 −0 vhdl/files/beautify/vhdl-ts-mode/ref/global_sim.beauty.vhd
+3,329 −0 vhdl/files/beautify/vhdl-ts-mode/ref/hierarchy.beauty.app.vhd
+3,329 −0 vhdl/files/beautify/vhdl-ts-mode/ref/hierarchy.beauty.block.app.vhd
+3,329 −0 vhdl/files/beautify/vhdl-ts-mode/ref/hierarchy.beauty.block.vhd
+3,329 −0 vhdl/files/beautify/vhdl-ts-mode/ref/hierarchy.beauty.vhd
+203 −0 vhdl/files/beautify/vhdl-ts-mode/ref/indent_misc.beauty.app.vhd
+203 −0 vhdl/files/beautify/vhdl-ts-mode/ref/indent_misc.beauty.block.app.vhd
+203 −0 vhdl/files/beautify/vhdl-ts-mode/ref/indent_misc.beauty.block.vhd
+203 −0 vhdl/files/beautify/vhdl-ts-mode/ref/indent_misc.beauty.vhd
+113 −0 vhdl/files/beautify/vhdl-ts-mode/ref/instances.beauty.app.vhd
+113 −0 vhdl/files/beautify/vhdl-ts-mode/ref/instances.beauty.block.app.vhd
+113 −0 vhdl/files/beautify/vhdl-ts-mode/ref/instances.beauty.block.vhd
+113 −0 vhdl/files/beautify/vhdl-ts-mode/ref/instances.beauty.vhd
+63 −0 vhdl/files/beautify/vhdl-ts-mode/ref/misc.beauty.app.vhd
+63 −0 vhdl/files/beautify/vhdl-ts-mode/ref/misc.beauty.block.app.vhd
+63 −0 vhdl/files/beautify/vhdl-ts-mode/ref/misc.beauty.block.vhd
+63 −0 vhdl/files/beautify/vhdl-ts-mode/ref/misc.beauty.vhd
+202 −0 vhdl/files/beautify/vhdl-ts-mode/ref/sexp.beauty.app.vhd
+202 −0 vhdl/files/beautify/vhdl-ts-mode/ref/sexp.beauty.block.app.vhd
+202 −0 vhdl/files/beautify/vhdl-ts-mode/ref/sexp.beauty.block.vhd
+202 −0 vhdl/files/beautify/vhdl-ts-mode/ref/sexp.beauty.vhd
+1,572 −0 vhdl/files/beautify/vhdl-ts-mode/ref/std_logic_1164-body.beauty.app.vhd
+1,572 −0 vhdl/files/beautify/vhdl-ts-mode/ref/std_logic_1164-body.beauty.block.app.vhd
+1,572 −0 vhdl/files/beautify/vhdl-ts-mode/ref/std_logic_1164-body.beauty.block.vhd
+1,572 −0 vhdl/files/beautify/vhdl-ts-mode/ref/std_logic_1164-body.beauty.vhd
+309 −0 vhdl/files/beautify/vhdl-ts-mode/ref/std_logic_1164.beauty.app.vhd
+309 −0 vhdl/files/beautify/vhdl-ts-mode/ref/std_logic_1164.beauty.block.app.vhd
+309 −0 vhdl/files/beautify/vhdl-ts-mode/ref/std_logic_1164.beauty.block.vhd
+309 −0 vhdl/files/beautify/vhdl-ts-mode/ref/std_logic_1164.beauty.vhd
+586 −0 vhdl/files/beautify/vhdl-ts-mode/ref/tb_axi_if_converter.beauty.app.vhd
+586 −0 vhdl/files/beautify/vhdl-ts-mode/ref/tb_axi_if_converter.beauty.block.app.vhd
+586 −0 vhdl/files/beautify/vhdl-ts-mode/ref/tb_axi_if_converter.beauty.block.vhd
+586 −0 vhdl/files/beautify/vhdl-ts-mode/ref/tb_axi_if_converter.beauty.vhd
+57 −0 vhdl/files/common/indent_misc.vhd
+57 −0 vhdl/files/faceup/vhdl-ts-mode/ref/indent_misc.faceup
+5 −1 vhdl/files/imenu/vhdl-ts-mode/ref/indent_misc.el
+447 −447 vhdl/files/indent/vhdl-ts-mode/ref/axi_if_converter.no_deindent.vhd
+447 −447 vhdl/files/indent/vhdl-ts-mode/ref/axi_if_converter.vhd
+489 −489 vhdl/files/indent/vhdl-ts-mode/ref/hierarchy.no_deindent.vhd
+489 −489 vhdl/files/indent/vhdl-ts-mode/ref/hierarchy.vhd
+63 −6 vhdl/files/indent/vhdl-ts-mode/ref/indent_misc.no_deindent.vhd
+63 −6 vhdl/files/indent/vhdl-ts-mode/ref/indent_misc.vhd
+28 −28 vhdl/files/indent/vhdl-ts-mode/ref/instances.no_deindent.vhd
+28 −28 vhdl/files/indent/vhdl-ts-mode/ref/instances.vhd
+15 −15 vhdl/files/indent/vhdl-ts-mode/ref/misc.no_deindent.vhd
+15 −15 vhdl/files/indent/vhdl-ts-mode/ref/misc.vhd
+9 −9 vhdl/files/indent/vhdl-ts-mode/ref/std_logic_1164-body.no_deindent.vhd
+9 −9 vhdl/files/indent/vhdl-ts-mode/ref/std_logic_1164-body.vhdl
+269 −269 vhdl/files/indent/vhdl-ts-mode/ref/tb_axi_if_converter.no_deindent.vhd
+269 −269 vhdl/files/indent/vhdl-ts-mode/ref/tb_axi_if_converter.vhd
+1 −1 vhdl/files/navigation/vhdl-ts-mode/ref/indent_misc.block.bwd.el
+1 −1 vhdl/files/navigation/vhdl-ts-mode/ref/indent_misc.block.fwd.el
+11 −2 vhdl/test-hdl-vhdl-beautify.el
+2 −2 vhdl/vhdl-ext/test-hdl-vhdl-ext-utils.el
+56 −73 vhdl/vhdl-ts-mode/test-hdl-vhdl-ts-mode-beautify.el
+2 −3 vhdl/vhdl-ts-mode/test-hdl-vhdl-ts-mode.el

0 comments on commit eebe403

Please sign in to comment.