-
-
Notifications
You must be signed in to change notification settings - Fork 8
Commit
This commit does not belong to any branch on this repository, and may belong to a fork outside of the repository.
Update indent tests with indent-tabs-mode disabled
- Loading branch information
Showing
96 changed files
with
5,444 additions
and
5,444 deletions.
There are no files selected for viewing
Large diffs are not rendered by default.
Oops, something went wrong.
Large diffs are not rendered by default.
Oops, something went wrong.
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -1,22 +1,22 @@ | ||
module foo; | ||
initial | ||
begin | ||
s1; | ||
end | ||
begin | ||
s1; | ||
end | ||
|
||
always @(a) | ||
begin | ||
s1; | ||
end // always @ (a) | ||
begin | ||
s1; | ||
end // always @ (a) | ||
always | ||
begin | ||
s1; | ||
end // always begin | ||
begin | ||
s1; | ||
end // always begin | ||
always_ff | ||
begin | ||
end // always_ff begin | ||
begin | ||
end // always_ff begin | ||
task | ||
t; | ||
t; | ||
endtask // t | ||
|
||
endmodule // foo |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -1,7 +1,7 @@ | ||
module assert_test; | ||
reg [31:0] whatever2; | ||
initial begin | ||
a = b; | ||
assert(std::randomize(whatever2) with { whatever2 inside {[10:100]};}); | ||
a = b; | ||
assert(std::randomize(whatever2) with { whatever2 inside {[10:100]};}); | ||
end | ||
endmodule // assert_test |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -1,56 +1,56 @@ | ||
module myassert(input clk, | ||
input reset, | ||
input [15:0] data); | ||
input reset, | ||
input [15:0] data); | ||
|
||
property myproperty; | ||
@(posedge clk) | ||
$rose(reset) |-> data == 16'h0; | ||
@(posedge clk) | ||
$rose(reset) |-> data == 16'h0; | ||
endproperty | ||
|
||
//Assert, cover, and assume property statements | ||
//support begin/end keywords. The else begin/end | ||
//clause below is getting indented improperly. | ||
myassert0: assert property(myproperty) begin | ||
$display("myassert0 was successful"); | ||
a; | ||
b; | ||
c; | ||
d; | ||
$display("myassert0 was successful"); | ||
a; | ||
b; | ||
c; | ||
d; | ||
end // myassert0: assert property (myproperty) | ||
else begin | ||
$fatal("myassert0 was unsuccessful"); | ||
$fatal("myassert0 was unsuccessful"); | ||
end // else: !assert property(myproperty) | ||
if (a) begin | ||
b; | ||
c; | ||
b; | ||
c; | ||
end // if (a) | ||
else begin | ||
o; | ||
o; | ||
end // else: !if(a) | ||
|
||
// TS: Add procedural block for non-concurrent assertion | ||
always @(posedge clk) begin | ||
assert (a) begin | ||
o; | ||
end // assert (a) | ||
else begin | ||
o; | ||
end // else: !assert (a) | ||
assert (a) begin | ||
o; | ||
end // assert (a) | ||
else begin | ||
o; | ||
end // else: !assert (a) | ||
|
||
assert (statement) begin | ||
$display("assertion passed"); //this code is correctly indented | ||
end // assert (statement) | ||
else begin // this whole section should be moved to the left | ||
$error("assertion failed"); | ||
end // else: !assert (statement) | ||
assert (statement) begin | ||
$display("assertion passed"); //this code is correctly indented | ||
end // assert (statement) | ||
else begin // this whole section should be moved to the left | ||
$error("assertion failed"); | ||
end // else: !assert (statement) | ||
|
||
// TS: Add procedural block for non-concurrent assertion | ||
// TS: Add procedural block for non-concurrent assertion | ||
end | ||
|
||
//Also, any statements following the assert, | ||
//cover, and assume property statements get | ||
// indented too far to the right. | ||
always @(posedge clk) begin | ||
a; | ||
a; | ||
end // always @ (posedge clk) | ||
endmodule |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -1,36 +1,36 @@ | ||
// Issue 941 : The following operators should not be broken by auto-indents | ||
module m; | ||
initial begin | ||
a = b; | ||
a <= b; | ||
a <<= b; | ||
a <<<= b; | ||
a >= b; | ||
a >>= b; | ||
a >>>= b; | ||
a == b; | ||
a != b; | ||
a === b; | ||
a !== b; | ||
a ==? b; | ||
a !=? b; | ||
a <-> b; | ||
a -> b; | ||
a ->> b; | ||
a |-> b; | ||
a |=> b; | ||
a #-# b; | ||
a #=# b; | ||
a := b; | ||
a :/ b; | ||
a = b; | ||
a <= b; | ||
a <<= b; | ||
a <<<= b; | ||
a >= b; | ||
a >>= b; | ||
a >>>= b; | ||
a == b; | ||
a != b; | ||
a === b; | ||
a !== b; | ||
a ==? b; | ||
a !=? b; | ||
a <-> b; | ||
a -> b; | ||
a ->> b; | ||
a |-> b; | ||
a |=> b; | ||
a #-# b; | ||
a #=# b; | ||
a := b; | ||
a :/ b; | ||
end | ||
|
||
// bug1169 | ||
assign a = t ? | ||
m : | ||
n; | ||
m : | ||
n; | ||
assign a = z ? | ||
m : | ||
n; | ||
m : | ||
n; | ||
|
||
endmodule |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
|
@@ -7,6 +7,6 @@ module foo | |
output ctl_out); | ||
|
||
assign data_out = data_in[1] ? data_in[63:0] | ||
: 64'h0; | ||
: 64'h0; | ||
|
||
endmodule |
Oops, something went wrong.