Skip to content

Commit

Permalink
fix common cell
Browse files Browse the repository at this point in the history
  • Loading branch information
davideschiavone committed Feb 13, 2023
1 parent 81e0410 commit 52034a2
Show file tree
Hide file tree
Showing 3 changed files with 5 additions and 7 deletions.
4 changes: 2 additions & 2 deletions hw/vendor/pulp_platform_common_cells.lock.hjson
Original file line number Diff line number Diff line change
Expand Up @@ -8,7 +8,7 @@
{
upstream:
{
url: https://github.com/pulp-platform/common_cells.git
rev: ff6a1c14664fd6aadcef8cee3abd5b5293545575
url: https://github.com/davideschiavone/common_cells.git
rev: 131a0622a8fc5f19f5f25b168321a3ce3fb599f4
}
}
4 changes: 2 additions & 2 deletions hw/vendor/pulp_platform_common_cells.vendor.hjson
Original file line number Diff line number Diff line change
Expand Up @@ -6,8 +6,8 @@
target_dir: "pulp_platform_common_cells",

upstream: {
url: "https://github.com/pulp-platform/common_cells.git",
rev: "ff6a1c14664fd6aadcef8cee3abd5b5293545575",
url: "https://github.com/davideschiavone/common_cells.git",
rev: "131a0622a8fc5f19f5f25b168321a3ce3fb599f4",
},

}
4 changes: 1 addition & 3 deletions hw/vendor/pulp_platform_common_cells/src/lfsr.sv
Original file line number Diff line number Diff line change
Expand Up @@ -304,13 +304,11 @@ initial begin
assert((CipherLayers > 0) && (LfsrWidth == 64) || (CipherLayers == 0)) else
$fatal(1, "Use additional cipher layers only in conjunction with an LFSR width of 64 bit." );
end
`endif

`ifndef VERILATOR
all_zero: assert property (
@(posedge clk_i) disable iff (!rst_ni) en_i |-> lfsr_d)
else $fatal(1,"Lfsr must not be all-zero.");
`endif
// pragma translate_on
`endif

endmodule // lfsr

0 comments on commit 52034a2

Please sign in to comment.