Skip to content

Commit 334ab73

Browse files
Add Interchange tests for LIFCL-40
Signed-off-by: Robert Szczepanski <rszczepanski@antmicro.com>
1 parent 4b15d03 commit 334ab73

File tree

4 files changed

+13
-0
lines changed

4 files changed

+13
-0
lines changed

assets/boards.yaml

Lines changed: 4 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -42,6 +42,10 @@ lifcl-40:
4242
family: nexus
4343
device: LIFCL-40
4444
package: 9BG400C
45+
lifcl-40-QFN72:
46+
family: nexus
47+
device: LIFCL-40
48+
package: QFN72
4549
lifcl-17:
4650
family: nexus
4751
device: LIFCL-17

assets/project/oneblink.yaml

Lines changed: 1 addition & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -16,6 +16,7 @@ vendors:
1616
- icebreaker
1717
lattice-nexus:
1818
- lifcl-40
19+
- lifcl-40-QFN72
1920
- lifcl-17
2021
- lifcl-17-WLCSP72
2122
quicklogic:

assets/vendors.yaml

Lines changed: 1 addition & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -27,6 +27,7 @@ lattice-ice40:
2727
lattice-nexus:
2828
boards:
2929
- lifcl-40
30+
- lifcl-40-QFN72
3031
- lifcl-17
3132
- lifcl-17-WLCSP72
3233
toolchains:
Lines changed: 7 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,7 @@
1+
set_property LOC L13 [get_ports clk]
2+
set_property LOC G19 [get_ports out]
3+
4+
set_property IOSTANDARD LVCMOS33 [get_ports clk]
5+
set_property IOSTANDARD LVCMOS33 [get_ports out]
6+
7+
create_clock -name clk -period 13.333 [get_ports clk]

0 commit comments

Comments
 (0)