Skip to content

Commit

Permalink
Tiny Tapeout 7
Browse files Browse the repository at this point in the history
  • Loading branch information
urish committed Apr 20, 2024
1 parent fbfac19 commit eddc6c9
Show file tree
Hide file tree
Showing 4 changed files with 6 additions and 11 deletions.
6 changes: 2 additions & 4 deletions .github/workflows/gds.yaml
Original file line number Diff line number Diff line change
Expand Up @@ -18,11 +18,10 @@ jobs:
PDK_ROOT: ${{ github.workspace }}/pdk
TT_CONFIG: sky130.yaml
# Uncomment the following line to build only the specified projects:
#TT_ONLY_PROJECTS: tt_um_chip_rom,tt_um_factory_test,tt_um_duk_lif,tt_um_analog_loopback,tt_um_mattvenn_inverter,tt_um_urish_charge_pump
#TT_ONLY_PROJECTS: tt_um_chip_rom,tt_um_factory_test

runs-on: ubuntu-latest-l
runs-on: ubuntu-latest
steps:
# need the repo checked out
- name: checkout repo
uses: actions/checkout@v4
with:
Expand All @@ -38,7 +37,6 @@ jobs:
path: openlane2
ref: ${{ env.OPENLANE_TAG }}

# Set Python up
- name: setup python
uses: actions/setup-python@v5
with:
Expand Down
2 changes: 1 addition & 1 deletion README.md
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,7 @@
[![gds](../../actions/workflows/gds.yaml/badge.svg)](../../actions/workflows/gds.yaml)
[![precheck](../../actions/workflows/precheck.yaml/badge.svg)](../../actions/workflows/precheck.yaml)

# Tiny Tapeout 06
# Tiny Tapeout 7

- Visit https://tinytapeout.com for more information on the project and how to get involved.

Expand Down
6 changes: 3 additions & 3 deletions config.yaml
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
id: 'tt06'
name: 'Tiny Tapeout 6'
end_date: '2024-04-19'
id: 'tt07'
name: 'Tiny Tapeout 7'
end_date: '2024-06-01'
project_dir: 'projects'
openframe: true
3 changes: 0 additions & 3 deletions modules.yaml
Original file line number Diff line number Diff line change
Expand Up @@ -6,6 +6,3 @@ modules:
- name: "factory_test"
x: 7
y: 14
# - name: "loopback"
# x: 6
# y: 15

0 comments on commit eddc6c9

Please sign in to comment.