Skip to content

Commit

Permalink
Tiny Tapeout 9
Browse files Browse the repository at this point in the history
  • Loading branch information
urish committed Sep 6, 2024
1 parent 4f25529 commit b74511f
Show file tree
Hide file tree
Showing 2 changed files with 4 additions and 4 deletions.
2 changes: 1 addition & 1 deletion README.md
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,7 @@
[![gds](../../actions/workflows/gds.yaml/badge.svg)](../../actions/workflows/gds.yaml)
[![precheck](../../actions/workflows/precheck.yaml/badge.svg)](../../actions/workflows/precheck.yaml)

# Tiny Tapeout 8
# Tiny Tapeout 9

- Visit https://tinytapeout.com for more information on the project and how to get involved.

Expand Down
6 changes: 3 additions & 3 deletions config.yaml
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
id: 'tt08'
name: 'Tiny Tapeout 8'
end_date: '2024-09-06'
id: 'tt09'
name: 'Tiny Tapeout 9'
end_date: '2024-11-10'
project_dir: 'projects'
openframe: true

0 comments on commit b74511f

Please sign in to comment.