Skip to content

Commit

Permalink
.
Browse files Browse the repository at this point in the history
  • Loading branch information
Shreesh-Kulkarni committed Oct 23, 2023
1 parent 0dd0c2f commit 1691d18
Show file tree
Hide file tree
Showing 2 changed files with 240 additions and 0 deletions.
25 changes: 25 additions & 0 deletions comblogic/fadderstrtb.v
Original file line number Diff line number Diff line change
@@ -0,0 +1,25 @@
`include "fadderstr.v"
`timescale 1ns/1ns
module fadderstrtb;
reg a,b;
reg cin;
wire sum;
wire cout;
fadd DUT(a,b,cin,sum,cout);
initial
begin
$dumpfile("fadderstrtb.vcd");
$dumpvars(0,fadderstrtb);
$monitor($time," A=%b B=%b Cin=%b Sum=%b Cout=%b",a,b,cin,sum,cout);
a=1'b0;b=1'b0;cin=1'b0;
forever begin
#5 a=a+1'b1;
#2 b=b+1'b1;
cin=~cin;
end
end
initial begin
#100;
$finish;
end
endmodule
215 changes: 215 additions & 0 deletions comblogic/fadderstrtb.vcd
Original file line number Diff line number Diff line change
@@ -0,0 +1,215 @@
$date
Mon Sep 11 19:34:31 2023
$end
$version
Icarus Verilog
$end
$timescale
1ns
$end
$scope module fadderstrtb $end
$var wire 1 ! sum $end
$var wire 1 " cout $end
$var reg 1 # a $end
$var reg 1 $ b $end
$var reg 1 % cin $end
$scope module DUT $end
$var wire 1 # a $end
$var wire 1 $ b $end
$var wire 1 % cin $end
$var wire 1 " cout $end
$var wire 1 ! sum $end
$var wire 1 & s1 $end
$var wire 1 ' c2 $end
$var wire 1 ( c1 $end
$scope module g1 $end
$var wire 1 # a $end
$var wire 1 $ b $end
$var wire 1 ( c $end
$var wire 1 & s $end
$upscope $end
$scope module g2 $end
$var wire 1 & a $end
$var wire 1 % b $end
$var wire 1 ' c $end
$var wire 1 ! s $end
$upscope $end
$upscope $end
$upscope $end
$enddefinitions $end
$comment Show the parameter values. $end
$dumpall
$end
#0
$dumpvars
0(
0'
0&
0%
0$
0#
0"
0!
$end
#5
1!
1&
1#
#7
1"
0&
1(
1%
1$
#12
0!
1'
1"
1&
0(
0#
#14
0"
0'
0&
0%
0$
#19
1!
1&
1#
#21
1"
0&
1(
1%
1$
#26
0!
1'
1"
1&
0(
0#
#28
0"
0'
0&
0%
0$
#33
1!
1&
1#
#35
1"
0&
1(
1%
1$
#40
0!
1'
1"
1&
0(
0#
#42
0"
0'
0&
0%
0$
#47
1!
1&
1#
#49
1"
0&
1(
1%
1$
#54
0!
1'
1"
1&
0(
0#
#56
0"
0'
0&
0%
0$
#61
1!
1&
1#
#63
1"
0&
1(
1%
1$
#68
0!
1'
1"
1&
0(
0#
#70
0"
0'
0&
0%
0$
#75
1!
1&
1#
#77
1"
0&
1(
1%
1$
#82
0!
1'
1"
1&
0(
0#
#84
0"
0'
0&
0%
0$
#89
1!
1&
1#
#91
1"
0&
1(
1%
1$
#96
0!
1'
1"
1&
0(
0#
#98
0"
0'
0&
0%
0$
#100

0 comments on commit 1691d18

Please sign in to comment.