Skip to content

Issues: tree-sitter/tree-sitter-verilog

New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Author
Filter by author
Loading
Label
Filter by label
Loading
Use alt + click/return to exclude labels
or + click/return for logical OR
Projects
Filter by project
Loading
Milestones
Filter by milestone
Loading
Assignee
Filter by who’s assigned
Sort

Issues list

fix blocking assignment bug Something isn't working hacktoberfest
#36 opened Oct 15, 2019 by drom
package / class namespace bug Something isn't working hacktoberfest
#35 opened Oct 15, 2019 by drom
parameter aggregate type bug Something isn't working hacktoberfest
#34 opened Oct 14, 2019 by drom
Long Macro bug Something isn't working hacktoberfest
#33 opened Oct 14, 2019 by drom
22.11 `pragma enhancement New feature or request hacktoberfest spec Implement Spec feature
#32 opened Oct 11, 2019 by drom
Fail to parse constant_expression with parameter first bug Something isn't working hacktoberfest
#30 opened Oct 10, 2019 by drom
A.6.12 Randsequence hacktoberfest spec Implement Spec feature
#16 opened Jun 24, 2019 by drom
A.1.1 Library source text hacktoberfest spec Implement Spec feature
#13 opened Jun 24, 2019 by drom
get into the playground enhancement New feature or request hacktoberfest
#12 opened Jun 24, 2019 by drom
test on MAC hacktoberfest
#9 opened Feb 4, 2019 by drom
ProTip! no:milestone will show everything without a milestone.