Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Resolve the warning when running the project #4

Open
wants to merge 1 commit into
base: main
Choose a base branch
from

Conversation

eeeXun
Copy link
Contributor

@eeeXun eeeXun commented Nov 20, 2023

When running sbt test. I get the warning.

[warn] .../ca2023-lab3/src/main/scala/riscv/core/WriteBack.scala:24:25: method apply in object MuxLookup is deprecated (since Chisel 3.6): Use MuxLookup(key, default)(mapping) instead
[warn]   io.regs_write_data := MuxLookup(
[warn]                         ^
[warn] one warning found

In Chisel 3.5, the syntax of MuxLookup is

MuxLookup(idx, default,
    Array(0.U -> a, 1.U -> b))

In Chisel 3.6, the syntax of MuxLookup is

MuxLookup(idx, default)(Seq(0.U -> a, 1.U -> b))

Since project is build on Chisel 3.6, we have these warnings.
This patch would not affect any code behavior. It just resolves the warning when running sbt.

jserv

This comment was marked as resolved.

@sysprog21 sysprog21 deleted a comment from eeeXun Nov 25, 2023
@jserv
Copy link
Contributor

jserv commented Nov 25, 2023

I tend to merge this pull request at a later time since I am currently in the process of incorporating CLINT (interrupt controller) and minimal CSR support.

@eeeXun, Once the related commits are added to the main branch, please rebase your work, and I will merge accordingly.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

Successfully merging this pull request may close these issues.

2 participants