From 145e3bed486551feacb074fc1438a9afdefa2a40 Mon Sep 17 00:00:00 2001 From: Luca Colagrande Date: Thu, 14 Dec 2023 12:16:15 +0100 Subject: [PATCH] stream_xbar: Fix non-existing output assertion (#204) --- src/stream_xbar.sv | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/stream_xbar.sv b/src/stream_xbar.sv index 167add5d..bb07afed 100644 --- a/src/stream_xbar.sv +++ b/src/stream_xbar.sv @@ -171,7 +171,7 @@ module stream_xbar #( `endif for (genvar i = 0; unsigned'(i) < NumInp; i++) begin : gen_sel_assertions assert property (@(posedge clk_i) disable iff (~rst_ni) - (valid_i[i] |-> sel_i[i] < sel_oup_t'(NumOut))) else + (valid_i[i] |-> sel_i[i] < NumOut)) else $fatal(1, "Non-existing output is selected!"); end