Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

doc: chip_tool_guide: fix the order of arguments in pairing code command #28494

Merged

Conversation

markaj-nordic
Copy link
Contributor

chip-tool expects the node-id to be passed before payload

`pairing code` command

chip-tool expects the node-id to be passed before payload.

Signed-off-by: Marcin Kajor <marcin.kajor@nordicsemi.no>
@github-actions
Copy link

github-actions bot commented Aug 3, 2023

PR #28494: Size comparison from 59a0b2f to 5981090

Increases (10 builds for bl602, cc32xx, telink)
platform target config section 59a0b2f 5981090 change % change
bl602 lighting-app bl602 .text 1056838 1056840 2 0.0
bl602+rpc .text 1088772 1088774 2 0.0
cc32xx lock CC3235SF_LAUNCHXL .debug_info 19063088 19063090 2 0.0
telink all-clusters-app tlsr9518adk80d (read/write) 1069696 1069704 8 0.0
text 728440 728442 2 0.0
all-clusters-minimal-app tlsr9518adk80d text 669070 669072 2 0.0
bridge-app tlsr9518adk80d text 646686 646688 2 0.0
shell tlsr9518adk80d (read/write) 744124 744132 8 0.0
text 478572 478574 2 0.0
smoke_co_alarm-app tlsr9518adk80d text 593094 593096 2 0.0
temperature-measurement-app tlsr9518adk80d text 581764 581768 4 0.0
thermostat tlsr9518adk80d (read/write) 910616 910624 8 0.0
text 621208 621210 2 0.0
Decreases (7 builds for efr32, psoc6, telink)
platform target config section 59a0b2f 5981090 change % change
efr32 lighting-app BRD4187C (read/write) 1035368 1035360 -8 -0.0
.text 868804 868796 -8 -0.0
psoc6 all-clusters cy8ckit_062s2_43012 .debug_info 30060494 30060493 -1 -0.0
all-clusters-minimal cy8ckit_062s2_43012 .debug_info 27154962 27154961 -1 -0.0
light cy8ckit_062s2_43012 .debug_info 22310013 22310012 -1 -0.0
telink light-switch-app tlsr9518adk80d (read/write) 904788 904780 -8 -0.0
text 615666 615664 -2 -0.0
lock-app tlsr9518adk80d text 618190 618188 -2 -0.0
pump-controller-app tlsr9518adk80d text 586166 586164 -2 -0.0
Full report (60 builds for bl602, bl702, bl702l, cc32xx, cyw30739, efr32, esp32, k32w, linux, mbed, nrfconnect, psoc6, qpg, telink)
platform target config section 59a0b2f 5981090 change % change
bl602 lighting-app bl602 (read/write) 1363902 1363902 0 0.0
.bss 73862 73862 0 0.0
.data 9552 9552 0 0.0
.text 1056838 1056840 2 0.0
bl602+rpc (read/write) 1411370 1411370 0 0.0
.bss 81902 81902 0 0.0
.data 9952 9952 0 0.0
.text 1088772 1088774 2 0.0
bl702 lighting-app bl702 (read only) 3358 3358 0 0.0
(read/write) 1166823 1166823 0 0.0
.bleromro 6342 6342 0 0.0
.bleromrw 124 124 0 0.0
.boot2 328 328 0 0.0
.bss 41285 41285 0 0.0
.bss_psram 43680 43680 0 0.0
.comment 48 48 0 0.0
.data 3544 3544 0 0.0
.debug_abbrev 1565926 1565926 0 0.0
.debug_aranges 140328 140328 0 0.0
.debug_frame 516308 516308 0 0.0
.debug_info 41440366 41440366 0 0.0
.debug_line 5477239 5477239 0 0.0
.debug_loc 3564047 3564047 0 0.0
.debug_ranges 384576 384576 0 0.0
.debug_str 4014457 4014457 0 0.0
.hbn 544 544 0 0.0
.hbn_noinit 260 260 0 0.0
.init 342 342 0 0.0
.init_array 144 144 0 0.0
.psram 0 0 0 0.0
.riscv.attributes 47 47 0 0.0
.rodata 106776 106776 0 0.0
.rsvd 4 4 0 0.0
.sha_ocram 72 72 0 0.0
.shstrtab 304 304 0 0.0
.stack 2048 2048 0 0.0
.strtab 589125 589125 0 0.0
.symtab 175536 175536 0 0.0
.tcm_data 28 28 0 0.0
.tcmcode 3358 3358 0 0.0
.text 0 0 0 0.0
953864 953864 0 0.0
bl702+rpc (read only) 3358 3358 0 0.0
(read/write) 1265727 1265727 0 0.0
.bleromro 6342 6342 0 0.0
.bleromrw 124 124 0 0.0
.boot2 328 328 0 0.0
.bss 49749 49749 0 0.0
.bss_psram 43680 43680 0 0.0
.comment 48 48 0 0.0
.data 4088 4088 0 0.0
.debug_abbrev 1743056 1743056 0 0.0
.debug_aranges 148872 148872 0 0.0
.debug_frame 545212 545212 0 0.0
.debug_info 46336223 46336223 0 0.0
.debug_line 5889774 5889774 0 0.0
.debug_loc 3770194 3770194 0 0.0
.debug_ranges 410328 410328 0 0.0
.debug_str 4434322 4434322 0 0.0
.hbn 544 544 0 0.0
.hbn_noinit 260 260 0 0.0
.init 342 342 0 0.0
.init_array 160 160 0 0.0
.psram 0 0 0 0.0
.riscv.attributes 47 47 0 0.0
.rodata 121428 121428 0 0.0
.rsvd 4 4 0 0.0
.sha_ocram 72 72 0 0.0
.shstrtab 304 304 0 0.0
.stack 2048 2048 0 0.0
.strtab 652088 652088 0 0.0
.symtab 194464 194464 0 0.0
.tcm_data 28 28 0 0.0
.tcmcode 3358 3358 0 0.0
.text 0 0 0 0.0
1028548 1028548 0 0.0
bl702l lighting-app bl702l (read only) 278 278 0 0.0
(read/write) 1152732 1152732 0 0.0
.boot2 292 292 0 0.0
.bss 15988 15988 0 0.0
.bss_psram 78096 78096 0 0.0
.comment 48 48 0 0.0
.data 4512 4512 0 0.0
.debug_abbrev 1568145 1568145 0 0.0
.debug_aranges 134832 134832 0 0.0
.debug_frame 495996 495996 0 0.0
.debug_info 41441474 41441474 0 0.0
.debug_line 5392825 5392825 0 0.0
.debug_loc 3500172 3500172 0 0.0
.debug_ranges 389784 389784 0 0.0
.debug_str 4026926 4026926 0 0.0
.hbn 3244 3244 0 0.0
.hbn_noinit 308 308 0 0.0
.init 408 408 0 0.0
.init_array 144 144 0 0.0
.riscv.attributes 47 47 0 0.0
.rodata 100660 100660 0 0.0
.romdata 3224 3224 0 0.0
.rsvd 4 4 0 0.0
.sha_ocram 72 72 0 0.0
.shstrtab 286 286 0 0.0
.stack 2048 2048 0 0.0
.strtab 589350 589350 0 0.0
.symtab 175104 175104 0 0.0
.tcm_data 692 692 0 0.0
.tcmcode 278 278 0 0.0
.text 0 0 0 0.0
942932 942932 0 0.0
cc32xx lock CC3235SF_LAUNCHXL (blank) 0 0 0 0.0
(read only) 612394 612394 0 0.0
(read/write) 208284 208284 0 0.0
.ARM.attributes 44 44 0 0.0
.ARM.exidx 8 8 0 0.0
.bss 201752 201752 0 0.0
.comment 206 206 0 0.0
.data 1412 1412 0 0.0
.debug_abbrev 945619 945619 0 0.0
.debug_aranges 108064 108064 0 0.0
.debug_frame 366668 366668 0 0.0
.debug_info 19063088 19063090 2 0.0
.debug_line 2784177 2784177 0 0.0
.debug_line_str 513 513 0 0.0
.debug_loc 33340 33340 0 0.0
.debug_loclists 1583802 1583802 0 0.0
.debug_ranges 4984 4984 0 0.0
.debug_rnglists 99805 99805 0 0.0
.debug_str 3418802 3418802 0 0.0
.ramVecs 780 780 0 0.0
.resetVecs 64 64 0 0.0
.rodata 105930 105930 0 0.0
.shstrtab 265 265 0 0.0
.stack 2048 2048 0 0.0
.strtab 491737 491737 0 0.0
.symtab 290928 290928 0 0.0
.text 504344 504344 0 0.0
cyw30739 light cyw930739m2evb_01 (read/write) 550151 550151 0 0.0
.app_xip_area 441241 441241 0 0.0
.bss 63936 63936 0 0.0
.data 656 656 0 0.0
.rodata 0 0 0 0.0
.text 112 112 0 0.0
lock cyw930739m2evb_01 (read/write) 534487 534487 0 0.0
.app_xip_area 420617 420617 0 0.0
.bss 68888 68888 0 0.0
.data 664 664 0 0.0
.rodata 0 0 0 0.0
.text 112 112 0 0.0
ota-requestor cyw930739m2evb_01 (read/write) 573799 573799 0 0.0
.app_xip_area 465969 465969 0 0.0
.bss 62928 62928 0 0.0
.data 584 584 0 0.0
.rodata 0 0 0 0.0
.text 112 112 0 0.0
switch cyw930739m2evb_01 (read/write) 546583 546583 0 0.0
.app_xip_area 435801 435801 0 0.0
.bss 65808 65808 0 0.0
.data 660 660 0 0.0
.rodata 0 0 0 0.0
.text 112 112 0 0.0
efr32 lighting-app BRD4161A+rs9116 (read/write) 1010576 1010576 0 0.0
.bss 183692 183692 0 0.0
.data 2640 2640 0 0.0
.text 824224 824224 0 0.0
BRD4187C (read/write) 1035368 1035360 -8 -0.0
.bss 163308 163308 0 0.0
.data 3236 3236 0 0.0
.text 868804 868796 -8 -0.0
lock-app BRD4161A+wf200 (read/write) 1084836 1084836 0 0.0
.bss 177708 177708 0 0.0
.data 2636 2636 0 0.0
.text 904472 904472 0 0.0
window-app BRD4187C (read/write) 1106548 1106548 0 0.0
.bss 146324 146324 0 0.0
.data 3120 3120 0 0.0
.text 957084 957084 0 0.0
esp32 all-clusters-app c3devkit (read only) 1149132 1149132 0 0.0
(read/write) 1702028 1702028 0 0.0
.dram0.bss 79192 79192 0 0.0
.dram0.data 13076 13076 0 0.0
.flash.rodata 266008 266008 0 0.0
.flash.text 1149132 1149132 0 0.0
.iram0.text 75262 75262 0 0.0
m5stack (read only) 1191199 1191199 0 0.0
(read/write) 550242 550242 0 0.0
.dram0.bss 85568 85568 0 0.0
.dram0.data 34020 34020 0 0.0
.flash.rodata 297012 297012 0 0.0
.flash.text 1185815 1185815 0 0.0
.iram0.text 123311 123311 0 0.0
k32w contact k32w0+release (read only) 590084 590084 0 0.0
(read/write) 91480 91480 0 0.0
.bss 67532 67532 0 0.0
.data 2116 2116 0 0.0
.text 589548 589548 0 0.0
light k32w0+release (read only) 588772 588772 0 0.0
(read/write) 91112 91112 0 0.0
.bss 67180 67180 0 0.0
.data 2104 2104 0 0.0
.text 588236 588236 0 0.0
lock k32w0+release (read only) 561324 561324 0 0.0
(read/write) 88944 88944 0 0.0
.bss 65068 65068 0 0.0
.data 2048 2048 0 0.0
.text 560788 560788 0 0.0
linux all-clusters-app debug (read only) 5483905 5483905 0 0.0
(read/write) 417456 417456 0 0.0
.bss 130368 130368 0 0.0
.data 3984 3984 0 0.0
.data.rel.ro 275776 275776 0 0.0
.dynamic 624 624 0 0.0
.got 5384 5384 0 0.0
.init 27 27 0 0.0
.init_array 1288 1288 0 0.0
.rodata 333680 333680 0 0.0
.text 4773411 4773411 0 0.0
all-clusters-minimal-app debug (read only) 4898921 4898921 0 0.0
(read/write) 231408 231408 0 0.0
.bss 126048 126048 0 0.0
.data 3856 3856 0 0.0
.data.rel.ro 94376 94376 0 0.0
.dynamic 624 624 0 0.0
.got 5344 5344 0 0.0
.init 27 27 0 0.0
.init_array 1128 1128 0 0.0
.rodata 271782 271782 0 0.0
.text 4397011 4397011 0 0.0
bridge-app debug (read only) 2768113 2768113 0 0.0
(read/write) 133064 133064 0 0.0
.bss 51768 51768 0 0.0
.data 3792 3792 0 0.0
.data.rel.ro 71400 71400 0 0.0
.dynamic 624 624 0 0.0
.got 4640 4640 0 0.0
.init 27 27 0 0.0
.init_array 808 808 0 0.0
.rodata 214344 214344 0 0.0
.text 2376291 2376291 0 0.0
chip-tool debug (read only) 17767969 17767969 0 0.0
(read/write) 1055160 1055160 0 0.0
.bss 93688 93688 0 0.0
.data 5090 5090 0 0.0
.data.rel.ro 949040 949040 0 0.0
.dynamic 624 624 0 0.0
.got 5880 5880 0 0.0
.init 27 27 0 0.0
.init_array 792 792 0 0.0
.rodata 916529 916529 0 0.0
.text 14796435 14796435 0 0.0
chip-tool-ipv6only arm64 (read only) 16980812 16980812 0 0.0
(read/write) 1122712 1122712 0 0.0
.bss 103064 103064 0 0.0
.data 4392 4392 0 0.0
.data.rel.ro 986400 986400 0 0.0
.dynamic 512 512 0 0.0
.got 23360 23360 0 0.0
.init 24 24 0 0.0
.init_array 248 248 0 0.0
.rodata 827400 827400 0 0.0
.text 13913592 13913592 0 0.0
lighting-app debug+rpc+ui (read only) 5277081 5277081 0 0.0
(read/write) 222344 222344 0 0.0
.bss 118000 118000 0 0.0
.data 4528 4528 0 0.0
.data.rel.ro 92240 92240 0 0.0
.dynamic 672 672 0 0.0
.got 5928 5928 0 0.0
.init 27 27 0 0.0
.init_array 944 944 0 0.0
.rodata 338388 338388 0 0.0
.text 4696643 4696643 0 0.0
lock-app debug (read only) 4456513 4456513 0 0.0
(read/write) 202248 202248 0 0.0
.bss 113296 113296 0 0.0
.data 3696 3696 0 0.0
.data.rel.ro 78496 78496 0 0.0
.dynamic 624 624 0 0.0
.got 5264 5264 0 0.0
.init 27 27 0 0.0
.init_array 848 848 0 0.0
.rodata 246982 246982 0 0.0
.text 4001043 4001043 0 0.0
ota-provider-app debug (read only) 4126809 4126809 0 0.0
(read/write) 192152 192152 0 0.0
.bss 113792 113792 0 0.0
.data 4000 4000 0 0.0
.data.rel.ro 68448 68448 0 0.0
.dynamic 624 624 0 0.0
.got 4544 4544 0 0.0
.init 27 27 0 0.0
.init_array 720 720 0 0.0
.rodata 200902 200902 0 0.0
.text 3735635 3735635 0 0.0
ota-requestor-app debug (read only) 4220689 4220689 0 0.0
(read/write) 196464 196464 0 0.0
.bss 114848 114848 0 0.0
.data 4240 4240 0 0.0
.data.rel.ro 71448 71448 0 0.0
.dynamic 624 624 0 0.0
.got 4480 4480 0 0.0
.init 27 27 0 0.0
.init_array 776 776 0 0.0
.rodata 204294 204294 0 0.0
.text 3821795 3821795 0 0.0
shell debug (read only) 2928129 2928129 0 0.0
(read/write) 150712 150712 0 0.0
.bss 60040 60040 0 0.0
.data 784 784 0 0.0
.data.rel.ro 84024 84024 0 0.0
.dynamic 592 592 0 0.0
.got 4112 4112 0 0.0
.init 27 27 0 0.0
.init_array 1128 1128 0 0.0
.rodata 210610 210610 0 0.0
.text 2546674 2546674 0 0.0
thermostat-no-ble arm64 (read only) 4450100 4450100 0 0.0
(read/write) 244168 244168 0 0.0
.bss 122552 122552 0 0.0
.data 2864 2864 0 0.0
.data.rel.ro 102296 102296 0 0.0
.dynamic 512 512 0 0.0
.got 11976 11976 0 0.0
.init 24 24 0 0.0
.init_array 440 440 0 0.0
.rodata 161916 161916 0 0.0
.text 3950008 3950008 0 0.0
tv-app debug (read only) 5314577 5314577 0 0.0
(read/write) 350136 350136 0 0.0
.bss 241568 241568 0 0.0
.data 5104 5104 0 0.0
.data.rel.ro 96048 96048 0 0.0
.dynamic 624 624 0 0.0
.got 5616 5616 0 0.0
.init 27 27 0 0.0
.init_array 1136 1136 0 0.0
.rodata 272342 272342 0 0.0
.text 4798643 4798643 0 0.0
tv-casting-app debug (read only) 8294321 8294321 0 0.0
(read/write) 210848 210848 0 0.0
.bss 54496 54496 0 0.0
.data 2544 2544 0 0.0
.data.rel.ro 147016 147016 0 0.0
.dynamic 624 624 0 0.0
.got 5096 5096 0 0.0
.init 27 27 0 0.0
.init_array 1048 1048 0 0.0
.rodata 367580 367580 0 0.0
.text 7526627 7526627 0 0.0
mbed lock-app-release cy8cproto_062_4343w (read only) 6224 6224 0 0.0
(read/write) 2518952 2518952 0 0.0
.bss 220488 220488 0 0.0
.data 5096 5096 0 0.0
.text 1481636 1481636 0 0.0
nrfconnect all-clusters-app nrf52840dk_nrf52840 (read only) 4 4 0 0.0
(read/write) 1218272 1218272 0 0.0
bss 154771 154771 0 0.0
rodata 141316 141316 0 0.0
text 843144 843144 0 0.0
nrf7002dk_nrf5340_cpuapp (read only) 4 4 0 0.0
(read/write) 1336968 1336968 0 0.0
bss 140229 140229 0 0.0
rodata 135440 135440 0 0.0
text 770616 770616 0 0.0
all-clusters-minimal-app nrf52840dk_nrf52840 (read only) 4 4 0 0.0
(read/write) 1156184 1156184 0 0.0
bss 154950 154950 0 0.0
rodata 112700 112700 0 0.0
text 809588 809588 0 0.0
psoc6 all-clusters cy8ckit_062s2_43012 (read only) 837112 837112 0 0.0
(read/write) 1766900 1766900 0 0.0
.ARM.attributes 46 46 0 0.0
.ARM.exidx 8 8 0 0.0
.bss 193708 193708 0 0.0
.comment 212 212 0 0.0
.copy.table 24 24 0 0.0
.cy_m0p_image 6216 6216 0 0.0
.cy_sharedmem 8 8 0 0.0
.data 2520 2520 0 0.0
.debug_abbrev 1377642 1377642 0 0.0
.debug_aranges 143736 143736 0 0.0
.debug_frame 478840 478840 0 0.0
.debug_info 30060494 30060493 -1 -0.0
.debug_line 4139473 4139473 0 0.0
.debug_line_str 957 957 0 0.0
.debug_loclists 2245981 2245981 0 0.0
.debug_rnglists 142310 142310 0 0.0
.debug_str 4118664 4118664 0 0.0
.heap 837112 837112 0 0.0
.noinit 148 148 0 0.0
.ramVectors 736 736 0 0.0
.shstrtab 296 296 0 0.0
.stack_dummy 4096 4096 0 0.0
.strtab 801878 801878 0 0.0
.symtab 499744 499744 0 0.0
.text 0 0 0 0.0
1562284 1562284 0 0.0
.zero.table 8 8 0 0.0
all-clusters-minimal cy8ckit_062s2_43012 (read only) 839064 839064 0 0.0
(read/write) 1674364 1674364 0 0.0
.ARM.attributes 46 46 0 0.0
.ARM.exidx 8 8 0 0.0
.bss 191820 191820 0 0.0
.comment 212 212 0 0.0
.copy.table 24 24 0 0.0
.cy_m0p_image 6216 6216 0 0.0
.cy_sharedmem 8 8 0 0.0
.data 2456 2456 0 0.0
.debug_abbrev 1283262 1283262 0 0.0
.debug_aranges 137040 137040 0 0.0
.debug_frame 461928 461928 0 0.0
.debug_info 27154962 27154961 -1 -0.0
.debug_line 3956346 3956346 0 0.0
.debug_line_str 981 981 0 0.0
.debug_loclists 2146861 2146861 0 0.0
.debug_rnglists 135431 135431 0 0.0
.debug_str 3978213 3978213 0 0.0
.heap 839064 839064 0 0.0
.noinit 148 148 0 0.0
.ramVectors 736 736 0 0.0
.shstrtab 296 296 0 0.0
.stack_dummy 4096 4096 0 0.0
.strtab 712512 712512 0 0.0
.symtab 465392 465392 0 0.0
.text 1471700 1471700 0 0.0
.zero.table 0 0 0 0.0
8 8 0 0.0
light cy8ckit_062s2_43012 (read only) 845272 845272 0 0.0
(read/write) 1606180 1606180 0 0.0
.ARM.attributes 46 46 0 0.0
.ARM.exidx 8 8 0 0.0
.bss 185724 185724 0 0.0
.comment 212 212 0 0.0
.copy.table 24 24 0 0.0
.cy_m0p_image 6216 6216 0 0.0
.cy_sharedmem 8 8 0 0.0
.data 2344 2344 0 0.0
.debug_abbrev 1123878 1123878 0 0.0
.debug_aranges 127312 127312 0 0.0
.debug_frame 425560 425560 0 0.0
.debug_info 22310013 22310012 -1 -0.0
.debug_line 3522964 3522964 0 0.0
.debug_line_str 942 942 0 0.0
.debug_loclists 1986083 1986083 0 0.0
.debug_rnglists 125321 125321 0 0.0
.debug_str 3789386 3789386 0 0.0
.heap 845272 845272 0 0.0
.noinit 148 148 0 0.0
.ramVectors 736 736 0 0.0
.shstrtab 296 296 0 0.0
.stack_dummy 4096 4096 0 0.0
.strtab 634120 634120 0 0.0
.symtab 430352 430352 0 0.0
.text 1409724 1409724 0 0.0
.zero.table 0 0 0 0.0
8 8 0 0.0
lock cy8ckit_062s2_43012 (read only) 817848 817848 0 0.0
(read/write) 1636492 1636492 0 0.0
.ARM.attributes 46 46 0 0.0
.ARM.exidx 8 8 0 0.0
.bss 213156 213156 0 0.0
.comment 212 212 0 0.0
.copy.table 24 24 0 0.0
.cy_m0p_image 6216 6216 0 0.0
.cy_sharedmem 8 8 0 0.0
.data 2336 2336 0 0.0
.debug_abbrev 1100516 1100516 0 0.0
.debug_aranges 125256 125256 0 0.0
.debug_frame 418392 418392 0 0.0
.debug_info 21908001 21908001 0 0.0
.debug_line 3450759 3450759 0 0.0
.debug_line_str 933 933 0 0.0
.debug_loclists 1953503 1953503 0 0.0
.debug_rnglists 122661 122661 0 0.0
.debug_str 3743615 3743615 0 0.0
.heap 817848 817848 0 0.0
.noinit 148 148 0 0.0
.ramVectors 736 736 0 0.0
.shstrtab 296 296 0 0.0
.stack_dummy 4096 4096 0 0.0
.strtab 603638 603638 0 0.0
.symtab 420192 420192 0 0.0
.text 1412612 1412612 0 0.0
.zero.table 0 0 0 0.0
8 8 0 0.0
qpg lighting-app qpg6105+debug (read/write) 1177540 1177540 0 0.0
.bss 101392 101392 0 0.0
.data 736 736 0 0.0
.text 624384 624384 0 0.0
lock-app qpg6105+debug (read/write) 1134572 1134572 0 0.0
.bss 96112 96112 0 0.0
.data 740 740 0 0.0
.text 581412 581412 0 0.0
telink all-clusters-app tlsr9518adk80d (read only) 4 4 0 0.0
(read/write) 1069696 1069704 8 0.0
bss 107460 107460 0 0.0
text 728440 728442 2 0.0
all-clusters-minimal-app tlsr9518adk80d (read only) 4 4 0 0.0
(read/write) 976164 976164 0 0.0
bss 105436 105436 0 0.0
text 669070 669072 2 0.0
bridge-app tlsr9518adk80d (read only) 4 4 0 0.0
(read/write) 943988 943988 0 0.0
bss 109876 109876 0 0.0
text 646686 646688 2 0.0
contact-sensor-app tlsr9518adk80d (read only) 4 4 0 0.0
(read/write) 875904 875904 0 0.0
bss 99056 99056 0 0.0
text 590034 590034 0 0.0
light-switch-app tlsr9518adk80d (read only) 4 4 0 0.0
(read/write) 904788 904780 -8 -0.0
bss 99648 99648 0 0.0
text 615666 615664 -2 -0.0
lighting-app tlsr9518adk80d (read only) 4 4 0 0.0
(read/write) 982332 982332 0 0.0
bss 107732 107732 0 0.0
text 685536 685536 0 0.0
lighting-app-factory-data tlsr9518adk80d (read only) 4 4 0 0.0
(read/write) 993696 993696 0 0.0
bss 111980 111980 0 0.0
text 693048 693048 0 0.0
lighting-app-rpc tlsr9518adk80d (read only) 4 4 0 0.0
(read/write) 1051856 1051856 0 0.0
bss 109304 109304 0 0.0
text 730468 730468 0 0.0
lighting-app-shell tlsr9518adk80d (read only) 4 4 0 0.0
(read/write) 1083192 1083192 0 0.0
bss 120380 120380 0 0.0
text 750570 750570 0 0.0
lock-app tlsr9518adk80d (read only) 4 4 0 0.0
(read/write) 930468 930468 0 0.0
bss 99700 99700 0 0.0
text 618190 618188 -2 -0.0
ota-requestor-app tlsr9518adk80d (read only) 4 4 0 0.0
(read/write) 898532 898532 0 0.0
bss 100356 100356 0 0.0
text 611918 611918 0 0.0
pump-app tlsr9518adk80d (read only) 4 4 0 0.0
(read/write) 881392 881392 0 0.0
bss 99188 99188 0 0.0
text 595728 595728 0 0.0
pump-controller-app tlsr9518adk80d (read only) 4 4 0 0.0
(read/write) 868548 868548 0 0.0
bss 98988 98988 0 0.0
text 586166 586164 -2 -0.0
shell tlsr9518adk80d (read only) 4 4 0 0.0
(read/write) 744124 744132 8 0.0
bss 97256 97256 0 0.0
text 478572 478574 2 0.0
smoke_co_alarm-app tlsr9518adk80d (read only) 4 4 0 0.0
(read/write) 877964 877964 0 0.0
bss 99144 99144 0 0.0
text 593094 593096 2 0.0
temperature-measurement-app tlsr9518adk80d (read only) 4 4 0 0.0
(read/write) 864672 864672 0 0.0
bss 98896 98896 0 0.0
text 581764 581768 4 0.0
thermostat tlsr9518adk80d (read only) 4 4 0 0.0
(read/write) 910616 910624 8 0.0
bss 99440 99440 0 0.0
text 621208 621210 2 0.0
window-covering tlsr9518adk80d (read only) 4 4 0 0.0
(read/write) 905948 905948 0 0.0
bss 99752 99752 0 0.0
text 616082 616082 0 0.0

@mergify mergify bot merged commit ae43587 into project-chip:master Aug 3, 2023
51 checks passed
ajoletta-amzn pushed a commit to ajoletta-amzn/connectedhomeip that referenced this pull request Aug 4, 2023
Added the ability to transmit and display device name in the Matter SDK

Re-run zap_convert_all.py (project-chip#28459)

Add an API to get the list of running controllers from a controller factory. (project-chip#28461)

Add utilities for converting CATValues to/from NSSet. (project-chip#28453)

* Add utilities for converting CATValues to/from NSSet.

* Address review comments.

* Address more review comments.

[esp32 m5-stack light] move freertos functions to flash instead of iram (project-chip#28455)

* Move freertos functions out if iram.

This is because linkage fails with out of IRAM.

Following https://docs.espressif.com/projects/esp-idf/en/latest/esp32/api-guides/performance/ram-usage.html#optimizing-iram-usage

* Add newline at the end of the file

---------

Co-authored-by: Andrei Litvin <andreilitvin@google.com>

virtual-device-app: Add LoadingFragment for commissioning establish started event (project-chip#28428)

Signed-off-by: Jaehoon You <jaehoon.you@samsung.com>

Add openiot clean steps (project-chip#28473)

Co-authored-by: Andrei Litvin <andreilitvin@google.com>

[cloudbuild] switch to v2 of our build images. (project-chip#28476)

Co-authored-by: Andrei Litvin <andreilitvin@google.com>

Update ZAP to pick up UI for multiple device types on an endpoint. (project-chip#28423)

tv-casting-app: Implementing PASE related callbacks (project-chip#28342)

* Linux tv-casting-app: Implementing AppDelegate

* Android tv-casting-app: Implementing AppDelegate

* iOS tv-casting-app: Implementing AppDelegate

[TC-RVC-2.1] Fix PIXIT codes to match the test plan (project-chip#28481)

* fix PIXIT codes to match tp

* fix PIXIT

Stop using controllerID for internal XPC bookkeeping. (project-chip#28480)

We want to use controllerID for client-assigned controller IDs.

Add functions to set Parent Endpoint and Composition Type for an Endpoint (project-chip#28410)

* Added endpointCompositionType to the EmberAfDefinedEndpoint structure to be used to hold whether an endpoint is flat or tree composed - defaults to Flat to assume previous behaviour as default

* Added functions to support setting and getting composition type and also to set an endpoints parent endpoint

* updated Read handler for parts list to make its else branch for Flat composition and then added a further branch to handle Tree composition

* Restyled by clang-format

* Fixed mistake in return type of emberAfEndpointCompositionTypeForEndpoint

* Removing debug logs

* Restyled by clang-format

* Moved new API funciotns to chip::app namespace and removed ember naming. Made endpoint struct use a proper bitmask as it was basically using an enum in a weird way

* Moved Read for Parts List to use new function naming

* Restyled by clang-format

* Replaced EmberAfStatus with CHIP_ERROR

* Restyled by clang-format

---------

Co-authored-by: Restyled.io <commits@restyled.io>

Add macro to set alignment of sha256 context (project-chip#28426)

Fix uninitialised values in KeySet and AttributePathExpandIterator (project-chip#28356)

* Fix uninitialised value in KeySet

* Fix uninitilised mGlobalAttributeEndIndex

* Restyled by clang-format

* Review proposed change initilize mGlobalAttributeEndIndex

* Change initialize values in KeySet to initilize only start_time in EpochKey

* Restyled by clang-format

* Review proposed change initilize IPK keyset start_time

---------

Co-authored-by: Restyled.io <commits@restyled.io>

Add a controller argument to the storage checker function. (project-chip#28488)

Most of the changes are just reindenting.

CASE: Send busy status report if we receive a sigma1 and we are in the middle of handshake (project-chip#28153)

* CASE: Send busy status report if we receive a sigma1 and we are in the
middle of handshake

* Apply suggestions from code review

Co-authored-by: Boris Zbarsky <bzbarsky@apple.com>

* Addressing review comments

* few more review comments

* Addressed reviews and added the unit tests

* Restyled by clang-format

* Few more review comments

* Apply suggestions from code review

Co-authored-by: Tennessee Carmel-Veilleux <tennessee.carmelveilleux@gmail.com>

* Moved todo to better place

---------

Co-authored-by: Boris Zbarsky <bzbarsky@apple.com>
Co-authored-by: Restyled.io <commits@restyled.io>
Co-authored-by: Tennessee Carmel-Veilleux <tennessee.carmelveilleux@gmail.com>

doc: chip_tool_guide: fix the order of arguments in (project-chip#28494)

`pairing code` command

chip-tool expects the node-id to be passed before payload.

Signed-off-by: Marcin Kajor <marcin.kajor@nordicsemi.no>

add event For messages acks, Request ICD active mode when waiting on a ack (project-chip#28380)

[ReadHandler] Removal of test flags (project-chip#28421)

* Removed reportScheduler test flags and made TestReadInteractin.cpp wait for min/max instead of setting flags. Modified subscription times in the test to minimise the impact of waiting.

* Restyled by clang-format

* Added a driveAndServiceIO after waiting for max in test where we should wait for max to expire to ensure the run gets scheduled reliably

* Moved ctx.GetIOContext().DriveIO(); after each tests to garantee this will run after maxInterval is expired

* Implemented a mock clock in TestReadInteraction to reduce wait loops

* Removed more loops and added comment on loop left in the code

---------

Co-authored-by: Restyled.io <commits@restyled.io>

[Silabs] Add SIT ICD configurations to silabs lock example (project-chip#28474)

* rename SED for ICD

* Delete ICD subscription callback since default behavior is merged

* Enable ICD default configuration for DL
Update configs to support ICDs

* Add ICDM attributes for SIT ICD config

* generated files

* update wordlist

* update readme

* update default config comments

* add define block for ICD configs

* fix esp

* try to fix esp again

* try to fix esp again

Add an example room air conditioner configuration to chef devices (project-chip#28485)

* Add an example room air conditioner configuration to chef devices

* Remove unuseful cluster in ep 0

* fix ci bug

* Revert "fix ci bug"

This reverts commit e31432e.

[docker] Use gcc 10 in chip build (project-chip#28478)

* Switch to gcc10 instead of gcc9 for chip build base

* Bump up version file

* Add -Dtests=false

---------

Co-authored-by: Andrei Litvin <andreilitvin@google.com>

Reduce type character size of SceneTableImpl types (project-chip#28448)

virtual-device-app: Add control module for virtual device's detail view (project-chip#28486)

Signed-off-by: Jaehoon You <jaehoon.you@samsung.com>
Signed-off-by: Charles Kim <chulspro.kim@samsung.com>

Add `__test__ = False` to hooks (project-chip#28477)

Improve Silabs Matter shell functionality/stability (project-chip#28475)

* Re-worked matter shell to allow for copy/paste, fix varying platform functionality, and increase stability

* Update src/lib/shell/MainLoopSilabs.cpp

Co-authored-by: mkardous-silabs <84793247+mkardous-silabs@users.noreply.github.com>

* Added further clarification in comments

---------

Co-authored-by: mkardous-silabs <84793247+mkardous-silabs@users.noreply.github.com>

fix define (project-chip#28502)

Update python logic for executing work in chip main loop (project-chip#28449)

* Add python main loop work method

* Fix typo and restyle

* Fix typo

* Code review updates

* Comment update

* Restyle

---------

Co-authored-by: Andrei Litvin <andreilitvin@google.com>

Fix crash when an error happens during a BLE scan on darwin. (project-chip#28505)

If we happened to do a BLE scan after doing a BLE connect, and then during the
BLE scan one of two things happens:

1. Bluetooth is shut down (e.g. by just turning it off via the menubar).
2. The scan is a delegate-less scan and times out.

then we would try to use the error handler that expects a SetUpCodePairer but
without an actual non-null SetUpCodePairer to pass it.  And then that would
crash.

The fix is to not call an error handler that we're not supposed to be calling;
scans do not call the _connection_ error handler.

Fix RESOURCE_EXHAUSTED in KeySetWrite (project-chip#28456)

* Fix RESOURCE_EXHAUSTED in KeySetWrite

* Restyled by prettier-yaml

---------

Co-authored-by: tennessee.carmelveilleux@gmail.com <tennessee@google.com>
Co-authored-by: Restyled.io <commits@restyled.io>
Co-authored-by: Andrei Litvin <andy314@gmail.com>

Power source: Implementation of dynamic endpoint list setter (project-chip#28110)

* Implementation of dynamic endpoint list setter

Test: tested by adding a call to set endpoint list in all clusters
      with chip-tool. Also see TestPowerSourceCluster.cpp

* Restyled by whitespace

* Restyled by gn

* Remove the define

Some platforms run these tests, but don't have that define defined.
Instead, just change the function name to test only.

* Address review comments

* free is being called, man, where's the leak?

* Restyled by clang-format

* Address some review comments.

* Fix leak.

* Deal with zero-length arrays.

* shutdown -> clear

* Use EncodeList for list

* test fix.

* types need to match

---------

Co-authored-by: Restyled.io <commits@restyled.io>

* Refine the laundry-washer-control server implementation and add laundry-washer-controls-delegate-impl (project-chip#28264)

* * Refine the laundry-washer-control server implementation
* Add laundry-washer-controls-delegate-impl

Signed-off-by: Chin-Ran Lo <chin-ran.lo@nxp.com>

* Restyled by whitespace

* Restyled by clang-format

* Restyled by gn

* Update src/app/clusters/laundry-washer-controls-server/laundry-washer-controls-delegate.h

Co-authored-by: Boris Zbarsky <bzbarsky@apple.com>

* Update examples/all-clusters-app/all-clusters-common/include/laundry-washer-controls-delegate-impl.h

Co-authored-by: Boris Zbarsky <bzbarsky@apple.com>

* * Remove the unused code and refine the comment

Signed-off-by: Chin-Ran Lo <chin-ran.lo@nxp.com>

* Update examples/all-clusters-app/all-clusters-common/include/laundry-washer-controls-delegate-impl.h

Co-authored-by: Arkadiusz Bokowy <arkadiusz.bokowy@gmail.com>

* Restyled by clang-format

---------

Signed-off-by: Chin-Ran Lo <chin-ran.lo@nxp.com>
Co-authored-by: Restyled.io <commits@restyled.io>
Co-authored-by: Boris Zbarsky <bzbarsky@apple.com>
Co-authored-by: Arkadiusz Bokowy <arkadiusz.bokowy@gmail.com>

Fix selector for status update on CHIPToolDeviceControllerDelegate. (project-chip#28506)

This did not get correctly updated in
project-chip#23665, so it was not
getting the status update callbacks.

[app] Add option to disable read client (project-chip#28149)

* app : Add option to disable Read Client

* Restyled by gn

* Format changes

* Restyled by clang-format

---------

Co-authored-by: Restyled.io <commits@restyled.io>

enable android platform configuration (project-chip#28451)

Skip building python modules as part of Matter.framework build. (project-chip#28514)

We don't need them there, but also they unconditionally pull in perfetto bits,
which is also undesirable.

[mode-base-cluster]fix: build error while not enable On/Off cluster server (project-chip#28388)

* fix build error while not enable onOff cluster server

* fix include file bug

[Ameba] Update docker image (project-chip#28441)

* Update Dockerfile

* Update version

[K32W0] Use west tool to get SDK (project-chip#28431)

Signed-off-by: Marius Tache <marius.tache@nxp.com>

[Ameba] Upgrade cpp17 (project-chip#28438)

* fix cmake workaround for ameba
- upgrade pigweed app to c++17

* Update Dockerfile

* Update version

* Move to chip-build-ameba version 4 instead of 1, to suppor c++17

---------

Co-authored-by: Andrei Litvin <andy314@gmail.com>
Co-authored-by: Andrei Litvin <andreilitvin@google.com>

Remove ICDM cluster for lighting app (project-chip#28522)

[Silabs] Rename SED build target to ICD for python scripts (project-chip#28504)

* rename sed to icd in build targets

* update txt tagets

* rename targets

[chef] disable Groups and Switch from example devices (project-chip#28501)

* A set of manual edits

* zap regen

* Script-disable groups and switch from EP0

* Zap regen
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
documentation Improvements or additions to documentation review - approved
Projects
None yet
Development

Successfully merging this pull request may close these issues.

3 participants