Skip to content

surelog

SystemVerilog 2017 Pre-processor, Parser, Elaborator, UHDM Compiler. Provides IEEE Design/TB C/C++ VPI and Python AST API. Compiles on Linux gcc, Windows msys2-gcc & msvc, OsX

Install from the command line
Learn more about packages
$ docker pull ghcr.io/mayhemheroes/surelog:master

Recent tagged image versions

  • Published 12 months ago · Digest
    sha256:37d568595a01937963b5d5c3f2152706a6fc28979a700847ed29f9d52b19d018
    117 Version downloads

Loading

Last published

12 months ago

Total downloads

1.92K