We read every piece of feedback, and take your input very seriously.
To see all available qualifiers, see our documentation.
There was an error while loading. Please reload this page.
1 parent 84df88e commit 8167017Copy full SHA for 8167017
lib/nsl_event/tick/tick_generator_frac.vhd
@@ -2,9 +2,8 @@ library ieee;
2
use ieee.std_logic_1164.all;
3
use ieee.numeric_std.all;
4
5
-library nsl_math, nsl_data;
+library nsl_math;
6
use nsl_math.fixed.all;
7
-use nsl_data.text.all;
8
9
entity tick_generator_frac is
10
port(
@@ -34,15 +33,6 @@ architecture beh of tick_generator_frac is
34
33
35
begin
36
37
- assert false
38
- report
39
- "tick_generator_frac"
40
- & ", num ("&to_string(freq_num_i'left)&":"&to_string(freq_num_i'right)&")"
41
- & ", denom ("&to_string(freq_denom_i'left)&":"&to_string(freq_denom_i'right)&")"
42
- & ", acc ("&to_string(acc_t'left)&":"&to_string(acc_t'right)&")"
43
-
44
- severity note;
45
46
regs: process(clock_i, reset_n_i) is
47
48
if rising_edge(clock_i) then
0 commit comments