Skip to content

Commit 8167017

Browse files
committed
event/tick: Do not depend on nsl_data
1 parent 84df88e commit 8167017

File tree

1 file changed

+1
-11
lines changed

1 file changed

+1
-11
lines changed

lib/nsl_event/tick/tick_generator_frac.vhd

Lines changed: 1 addition & 11 deletions
Original file line numberDiff line numberDiff line change
@@ -2,9 +2,8 @@ library ieee;
22
use ieee.std_logic_1164.all;
33
use ieee.numeric_std.all;
44

5-
library nsl_math, nsl_data;
5+
library nsl_math;
66
use nsl_math.fixed.all;
7-
use nsl_data.text.all;
87

98
entity tick_generator_frac is
109
port(
@@ -34,15 +33,6 @@ architecture beh of tick_generator_frac is
3433

3534
begin
3635

37-
assert false
38-
report
39-
"tick_generator_frac"
40-
& ", num ("&to_string(freq_num_i'left)&":"&to_string(freq_num_i'right)&")"
41-
& ", denom ("&to_string(freq_denom_i'left)&":"&to_string(freq_denom_i'right)&")"
42-
& ", acc ("&to_string(acc_t'left)&":"&to_string(acc_t'right)&")"
43-
44-
severity note;
45-
4636
regs: process(clock_i, reset_n_i) is
4737
begin
4838
if rising_edge(clock_i) then

0 commit comments

Comments
 (0)