Skip to content
View ly0's full-sized avatar
👊
已全仓买入
👊
已全仓买入

Highlights

  • Pro

Block or report ly0

Block user

Prevent this user from interacting with your repositories and sending you notifications. Learn more about blocking users.

You must be logged in to block users.

Please don't include any personal information such as legal names or email addresses. Maximum 100 characters, markdown supported. This note will be visible to only you.
Report abuse

Contact GitHub support about this user’s behavior. Learn more about reporting abuse.

Report abuse
Beta Lists are currently in beta. Share feedback and report bugs.

Starred repositories

80 stars written in Verilog
Clear filter

PicoRV32 - A Size-Optimized RISC-V CPU

Verilog 3,057 749 Updated Jun 27, 2024

Deprecated, please go to next generation Ultra-Low Power RISC-V Core https://github.com/riscv-mcu/e203_hbirdv2

Verilog 2,606 1,006 Updated Mar 24, 2021

opensouce RISC-V cpu core implemented in Verilog from scratch in one night!

Verilog 2,076 281 Updated Sep 15, 2024

IC design and development should be faster,simpler and more reliable

Verilog 1,848 569 Updated Dec 31, 2021

RTL, Cmodel, and testbench for NVDLA

Verilog 1,717 566 Updated Mar 2, 2022

Open source FPGA-based NIC and platform for in-network compute

Verilog 1,639 410 Updated Jul 5, 2024

SERV - The SErial RISC-V CPU

Verilog 1,373 178 Updated Aug 23, 2024

A small, light weight, RISC CPU soft core

Verilog 1,274 153 Updated Aug 26, 2024
Verilog 1,188 249 Updated Sep 20, 2024

Verilog library for ASIC and FPGA designers

Verilog 1,156 284 Updated May 8, 2024

Verilog PCI express components

Verilog 1,082 286 Updated Apr 26, 2024

An open source GPU based off of the AMD Southern Islands ISA.

Verilog 1,036 236 Updated Sep 25, 2017

MNT VA2000, an Open Source Amiga 2/3/4000 Graphics Card (Zorro II/III), written in Verilog

Verilog 997 77 Updated Dec 15, 2022

32-bit Superscalar RISC-V CPU

Verilog 840 146 Updated Sep 18, 2021

An Open-source FPGA IP Generator

Verilog 817 160 Updated Sep 19, 2024

Various HDL (Verilog) IP Cores

Verilog 684 207 Updated Jul 1, 2021

Portable RISC-V System-on-Chip implementation: RTL, debugger and simulators

Verilog 619 102 Updated Dec 21, 2023

RISC-V Formal Verification Framework

Verilog 574 94 Updated Apr 6, 2022

A High-performance Timing Analysis Tool for VLSI Systems

Verilog 551 143 Updated May 26, 2023

An open source library for image processing on FPGA.

Verilog 551 216 Updated Jun 16, 2015

synthesiseable ieee 754 floating point library in verilog

Verilog 515 142 Updated Mar 13, 2023

Bus bridges and other odds and ends

Verilog 471 97 Updated Jan 12, 2024

xkISP:Xinkai ISP IP Core (HLS)

Verilog 233 99 Updated Mar 14, 2023

Chisel implementation of the NVIDIA Deep Learning Accelerator (NVDLA), with self-driving accelerated

Verilog 219 47 Updated Sep 6, 2024

A full-speed device-side USB peripheral core written in Verilog.

Verilog 206 38 Updated Oct 30, 2022

Open source design files for the TinyFPGA B-Series boards.

Verilog 190 35 Updated Nov 10, 2021

A 32-bit Microcontroller featuring a RISC-V core

Verilog 145 38 Updated Feb 28, 2018

Digitally synthesizable architecture for SerDes using Skywater Open PDK 130 nm technology.

Verilog 137 29 Updated Mar 26, 2022

Betrusted main SoC design

Verilog 135 21 Updated Nov 24, 2023

FPGA implementation of Cellular Neural Network (CNN)

Verilog 134 83 Updated Mar 30, 2018
Next