Skip to content

Commit bd25993

Browse files
[rtl, syn] Fix typos
1 parent 6b88138 commit bd25993

22 files changed

+56
-55
lines changed

rtl/ibex_alu.sv

Lines changed: 4 additions & 4 deletions
Original file line numberDiff line numberDiff line change
@@ -203,7 +203,7 @@ module ibex_alu #(
203203
//
204204
// Funnel Shifts
205205
// -------------
206-
// For funnel shifs, operand_a_i is tied to rs1 in the first cycle and rs3 in the
206+
// For funnel shifts, operand_a_i is tied to rs1 in the first cycle and rs3 in the
207207
// second cycle. operand_b_i is always tied to rs2. The order of applying the shift amount or
208208
// its complement is determined by bit [5] of shift_amt.
209209
//
@@ -484,7 +484,7 @@ module ibex_alu #(
484484
// butterfly network control signals. The adders in the intermediate value adder tree thus need
485485
// not be full 5-bit adders. We leave the optimization to the synthesis tools.
486486
//
487-
// Consider the following 8-bit example for illustraton.
487+
// Consider the following 8-bit example for illustration.
488488
//
489489
// let bitcnt_bits = 8'babcdefgh.
490490
//
@@ -783,7 +783,7 @@ module ibex_alu #(
783783
end
784784

785785
ALU_XPERM_B: begin
786-
// Convert byte to nibble indicies.
786+
// Convert byte to nibble indices.
787787
for (int b = 0; b < 4; b++) begin
788788
sel[b*2 + 0] = {sel_b[b], 1'b0};
789789
sel[b*2 + 1] = {sel_b[b], 1'b1};
@@ -863,7 +863,7 @@ module ibex_alu #(
863863
// where P denotes lower 32 bits of the corresponding CRC polynomial, rev(a) the bit reversal
864864
// of a, n = 8,16, or 32 for .b, .h, .w -variants. {a, b} denotes bit concatenation.
865865
//
866-
// Using barret reduction, one can show that
866+
// Using Barrett reduction, one can show that
867867
//
868868
// M(x) mod P(x) = R(x) =
869869
// (M(x) * x**n) & {deg(P(x)'{1'b1}}) ^ (M(x) x**-(deg(P(x) - n)) cx mu(x) cx P(x),

rtl/ibex_controller.sv

Lines changed: 6 additions & 6 deletions
Original file line numberDiff line numberDiff line change
@@ -47,7 +47,7 @@ module ibex_controller #(
4747
input logic instr_exec_i, // Execution control, when clear ID/EX
4848
// stage stops accepting instructions from
4949
// IF
50-
// to prefetcher
50+
// to prefetch
5151
output logic instr_req_o, // start fetching instructions
5252
output logic pc_set_o, // jump to address set by pc_mux
5353
output ibex_pkg::pc_sel_e pc_mux_o, // IF stage fetch address selector
@@ -76,7 +76,7 @@ module ibex_controller #(
7676
input logic irq_pending_i, // interrupt request pending
7777
input ibex_pkg::irqs_t irqs_i, // interrupt requests qualified with
7878
// mie CSR
79-
input logic irq_nm_ext_i, // non-maskeable interrupt
79+
input logic irq_nm_ext_i, // non-maskable interrupt
8080
output logic nmi_mode_o, // core executing NMI handler
8181

8282
// debug signals
@@ -248,7 +248,7 @@ module ibex_controller #(
248248

249249
// Note that with the writeback stage store/load errors occur on the instruction in writeback,
250250
// all other exception/faults occur on the instruction in ID/EX. The faults from writeback
251-
// must take priority as that instruction is architecurally ordered before the one in ID/EX.
251+
// must take priority as that instruction is architecturally ordered before the one in ID/EX.
252252
if (store_err_q) begin
253253
store_err_prio = 1'b1;
254254
end else if (load_err_q) begin
@@ -317,7 +317,7 @@ module ibex_controller #(
317317

318318
assign entering_nmi = nmi_mode_d & ~nmi_mode_q;
319319

320-
// Load integerity error internal interrupt
320+
// Load integrity error internal interrupt
321321
always_comb begin
322322
mem_resp_intg_err_addr_d = mem_resp_intg_err_addr_q;
323323
mem_resp_intg_err_irq_set = 1'b0;
@@ -428,7 +428,7 @@ module ibex_controller #(
428428

429429
// Record the debug cause outside of the FSM
430430
// The decision to enter debug_mode and the write of the cause to DCSR happen
431-
// in seperate steps within the FSM. Hence, there are a small number of cycles
431+
// in separate steps within the FSM. Hence, there are a small number of cycles
432432
// where a change in external stimulus can cause the cause to be recorded incorrectly.
433433
assign debug_cause_d = trigger_match_i ? DBG_CAUSE_TRIGGER :
434434
ebrk_insn_prio & ebreak_into_debug ? DBG_CAUSE_EBREAK :
@@ -935,7 +935,7 @@ module ibex_controller #(
935935
debug_mode_d != debug_mode_q |-> flush_id_o & pc_set_o)
936936

937937
`ifdef RVFI
938-
// Workaround for internal verilator error when using hierarchical refers to calcuate this
938+
// Workaround for internal verilator error when using hierarchical refers to calculate this
939939
// directly in ibex_core
940940
logic rvfi_flush_next;
941941

rtl/ibex_core.sv

Lines changed: 4 additions & 4 deletions
Original file line numberDiff line numberDiff line change
@@ -109,7 +109,7 @@ module ibex_core import ibex_pkg::*; #(
109109
input logic irq_timer_i,
110110
input logic irq_external_i,
111111
input logic [14:0] irq_fast_i,
112-
input logic irq_nm_i, // non-maskeable interrupt
112+
input logic irq_nm_i, // non-maskable interrupt
113113
output logic irq_pending_o,
114114

115115
// Debug Interface
@@ -291,7 +291,7 @@ module ibex_core import ibex_pkg::*; #(
291291
logic [31:0] csr_rdata;
292292
logic [31:0] csr_wdata;
293293
logic illegal_csr_insn_id; // CSR access to non-existent register,
294-
// with wrong priviledge level,
294+
// with wrong privilege level,
295295
// or missing write permissions
296296

297297
// Data Memory Control
@@ -897,7 +897,7 @@ module ibex_core import ibex_pkg::*; #(
897897
logic [1:0] rf_ecc_err_a, rf_ecc_err_b;
898898
logic rf_ecc_err_a_id, rf_ecc_err_b_id;
899899

900-
// ECC checkbit generation for regiter file wdata
900+
// ECC checkbit generation for register file wdata
901901
prim_secded_inv_39_32_enc regfile_ecc_enc (
902902
.data_i(rf_wdata_wb),
903903
.data_o(rf_wdata_wb_ecc_o)
@@ -1537,7 +1537,7 @@ module ibex_core import ibex_pkg::*; #(
15371537

15381538

15391539
// rvfi_irq_valid signals an interrupt event to the cosim. These should only occur when the RVFI
1540-
// pipe is empty so just send it straigh through.
1540+
// pipe is empty so just send it straight through.
15411541
for (genvar i = 0; i < RVFI_STAGES + 1; i = i + 1) begin : g_rvfi_irq_valid
15421542
if (i == 0) begin : g_rvfi_irq_valid_first_stage
15431543
always_ff @(posedge clk_i or negedge rst_ni) begin

rtl/ibex_cs_registers.sv

Lines changed: 3 additions & 3 deletions
Original file line numberDiff line numberDiff line change
@@ -109,7 +109,7 @@ module ibex_cs_registers #(
109109
input ibex_pkg::exc_cause_t csr_mcause_i,
110110
input logic [31:0] csr_mtval_i,
111111
output logic illegal_csr_insn_o, // access to non-existent CSR,
112-
// with wrong priviledge level, or
112+
// with wrong privilege level, or
113113
// missing write permissions
114114
output logic double_fault_seen_o,
115115
// Performance Counters
@@ -199,7 +199,7 @@ module ibex_cs_registers #(
199199
} dcsr_t;
200200

201201
// Partial CPU control and status register fields
202-
// ICache scramble key valid (ic_scr_key_valid) is registered seperately to this struct. This is
202+
// ICache scramble key valid (ic_scr_key_valid) is registered separately to this struct. This is
203203
// because it is sampled from the top-level every cycle whilst the other fields only change
204204
// occasionally.
205205
typedef struct packed {
@@ -343,7 +343,7 @@ module ibex_cs_registers #(
343343
CSR_MIMPID: csr_rdata_int = CsrMimpId;
344344
// mhartid: unique hardware thread id
345345
CSR_MHARTID: csr_rdata_int = hart_id_i;
346-
// mconfigptr: pointer to configuration data structre
346+
// mconfigptr: pointer to configuration data structure
347347
CSR_MCONFIGPTR: csr_rdata_int = CSR_MCONFIGPTR_VALUE;
348348

349349
// mstatus: always M-mode, contains IE bit

rtl/ibex_decoder.sv

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -1196,7 +1196,7 @@ module ibex_decoder #(
11961196
// instruction exceptions
11971197
assign illegal_insn_o = illegal_insn | illegal_reg_rv32e;
11981198

1199-
// do not propgate regfile write enable if non-available registers are accessed in RV32E
1199+
// do not propagate regfile write enable if non-available registers are accessed in RV32E
12001200
assign rf_we_o = rf_we & ~illegal_reg_rv32e;
12011201

12021202
// Not all bits are used

rtl/ibex_dummy_instr.sv

Lines changed: 2 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -96,9 +96,9 @@ module ibex_dummy_instr import ibex_pkg::*; #(
9696
// LFSR with a mask applied (based on CSR config data) to shorten the period if required.
9797
assign dummy_cnt_threshold = lfsr_data.cnt & {dummy_instr_mask_i,{TIMEOUT_CNT_W-3{1'b1}}};
9898
assign dummy_cnt_incr = dummy_cnt_q + {{TIMEOUT_CNT_W-1{1'b0}},1'b1};
99-
// Clear the counter everytime a new instruction is inserted
99+
// Clear the counter every time a new instruction is inserted
100100
assign dummy_cnt_d = insert_dummy_instr ? '0 : dummy_cnt_incr;
101-
// Increment the counter for each executed instruction while dummy instuctions are
101+
// Increment the counter for each executed instruction while dummy instructions are
102102
// enabled.
103103
assign dummy_cnt_en = dummy_instr_en_i & id_in_ready_i &
104104
(fetch_valid_i | insert_dummy_instr);

rtl/ibex_ex_block.sv

Lines changed: 2 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -23,7 +23,7 @@ module ibex_ex_block #(
2323
input logic alu_instr_first_cycle_i,
2424

2525
// Branch Target ALU
26-
// All of these signals are unusued when BranchTargetALU == 0
26+
// All of these signals are unused when BranchTargetALU == 0
2727
input logic [31:0] bt_a_operand_i,
2828
input logic [31:0] bt_b_operand_i,
2929

@@ -197,7 +197,7 @@ module ibex_ex_block #(
197197
assign ex_valid_o = multdiv_sel ? multdiv_valid : ~(|alu_imd_val_we);
198198

199199
`ifdef INC_ASSERT
200-
// This is intended to be accessed via hierarchal references so isn't output from this module nor
200+
// This is intended to be accessed via hierarchical references so isn't output from this module nor
201201
// used in any logic in this module
202202
logic sva_multdiv_fsm_idle;
203203

rtl/ibex_icache.sv

Lines changed: 4 additions & 4 deletions
Original file line numberDiff line numberDiff line change
@@ -76,7 +76,7 @@ module ibex_icache import ibex_pkg::*; #(
7676
logic [ADDR_W-1:0] lookup_addr_aligned;
7777
logic [ADDR_W-1:0] prefetch_addr_d, prefetch_addr_q;
7878
logic prefetch_addr_en;
79-
// Cache pipelipe IC0 signals
79+
// Cache pipeline IC0 signals
8080
logic lookup_throttle;
8181
logic lookup_req_ic0;
8282
logic [ADDR_W-1:0] lookup_addr_ic0;
@@ -98,7 +98,7 @@ module ibex_icache import ibex_pkg::*; #(
9898
logic [IC_NUM_WAYS-1:0] data_banks_ic0;
9999
logic data_write_ic0;
100100
logic [LineSizeECC-1:0] data_wdata_ic0;
101-
// Cache pipelipe IC1 signals
101+
// Cache pipeline IC1 signals
102102
logic [TagSizeECC-1:0] tag_rdata_ic1 [IC_NUM_WAYS];
103103
logic [LineSizeECC-1:0] data_rdata_ic1 [IC_NUM_WAYS];
104104
logic [LineSizeECC-1:0] hit_data_ecc_ic1;
@@ -1112,7 +1112,7 @@ module ibex_icache import ibex_pkg::*; #(
11121112
inval_index_en = 1'b1;
11131113

11141114
if (icache_inval_i) begin
1115-
// If a new invalidaiton requests comes in go back to the beginning with a new scramble
1115+
// If a new invalidation requests comes in go back to the beginning with a new scramble
11161116
// key
11171117
ic_scr_key_req_o = 1'b1;
11181118
inval_state_d = AWAIT_SCRAMBLE_KEY;
@@ -1127,7 +1127,7 @@ module ibex_icache import ibex_pkg::*; #(
11271127
ic_scr_key_req_o = 1'b1;
11281128
inval_state_d = AWAIT_SCRAMBLE_KEY;
11291129
end else begin
1130-
// Allow other cache activies whilst in IDLE and no invalidation has been requested
1130+
// Allow other cache activities whilst in IDLE and no invalidation has been requested
11311131
inval_block_cache = 1'b0;
11321132
end
11331133
end

rtl/ibex_id_stage.sv

Lines changed: 5 additions & 5 deletions
Original file line numberDiff line numberDiff line change
@@ -519,7 +519,7 @@ module ibex_id_stage #(
519519
// instructions are in general rare and not part of performance critical parts of the code.
520520
//
521521
// No flush is triggered for a small number of specific CSRs. These are ones that have been
522-
// specifically identified to be a) likely to be modifed in exception handlers and b) safe to
522+
// specifically identified to be a) likely to be modified in exception handlers and b) safe to
523523
// alter without a flush.
524524
assign no_flush_csr_addr = csr_addr_o inside {CSR_MSCRATCH, CSR_MEPC};
525525

@@ -578,7 +578,7 @@ module ibex_id_stage #(
578578
.controller_run_o (controller_run),
579579
.instr_exec_i (instr_exec_i),
580580

581-
// to prefetcher
581+
// to prefetch
582582
.instr_req_o (instr_req_o),
583583
.pc_set_o (pc_set_o),
584584
.pc_mux_o (pc_mux_o),
@@ -972,7 +972,7 @@ module ibex_id_stage #(
972972
(outstanding_memory_access | (lsu_req_dec & ~lsu_req_done_i));
973973

974974
// If we stall a load in ID for any reason, it must not make an LSU request
975-
// (otherwide we might issue two requests for the same instruction)
975+
// (otherwise we might issue two requests for the same instruction)
976976
`ASSERT(IbexStallMemNoRequest,
977977
instr_valid_i & lsu_req_dec & ~instr_done |-> ~lsu_req_done_i)
978978

@@ -1040,7 +1040,7 @@ module ibex_id_stage #(
10401040
assign rf_rd_b_wb_match_o = 1'b0;
10411041

10421042
// First cycle of a load or store is always the request. We're expecting a response the cycles
1043-
// following. Note if the request isn't immediatly accepted these signals will still assert.
1043+
// following. Note if the request isn't immediately accepted these signals will still assert.
10441044
// However in this case the LSU won't signal a response as it's still waiting for the grant
10451045
// (even if the external memory bus signals are glitched to generate a false response).
10461046
assign expecting_load_resp_o = instr_valid_i & lsu_req_dec & ~instr_first_cycle & ~lsu_we;
@@ -1146,7 +1146,7 @@ module ibex_id_stage #(
11461146
`ASSERT(IbexDuplicateInstrMatch, instr_valid_i |-> instr_rdata_i === instr_rdata_alu_i)
11471147

11481148
// Check that when ID stage is ready for next instruction FSM is in FIRST_CYCLE state the
1149-
// following cycle (when the new instructon may begin executing).
1149+
// following cycle (when the new instruction may begin executing).
11501150
`ASSERT(IbexMoveToFirstCycleWhenIdReady, id_in_ready_o |=> id_fsm_q == FIRST_CYCLE)
11511151

11521152
`ifdef CHECK_MISALIGNED

rtl/ibex_if_stage.sv

Lines changed: 2 additions & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -541,7 +541,8 @@ module ibex_if_stage import ibex_pkg::*; #(
541541
logic prev_instr_seq_q, prev_instr_seq_d;
542542

543543
// Do not check for sequential increase after a branch, jump, exception, interrupt or debug
544-
// request, all of which will set branch_req. Also do not check after reset or for dummys.
544+
// request, all of which will set branch_req. Also do not check after reset or for dummy
545+
// instructions.
545546
assign prev_instr_seq_d = (prev_instr_seq_q | instr_new_id_d) &
546547
~branch_req & ~if_instr_err & ~stall_dummy_instr;
547548

0 commit comments

Comments
 (0)