Skip to content

Commit 86f0eb3

Browse files
committed
Add Additional Support for Intel
Intel Cyclone IV board
1 parent b5bce8b commit 86f0eb3

File tree

238 files changed

+95686
-114
lines changed

Some content is hidden

Large Commits have some content hidden by default. Use the searchbox below for content that may be hidden.

238 files changed

+95686
-114
lines changed

intel/sgx-dev/basefiles/Makefile

Lines changed: 8 additions & 8 deletions
Original file line numberDiff line numberDiff line change
@@ -151,13 +151,13 @@ VRC_FILES=../../rocket-chip/src/main/resources/vsrc/plusarg_reader.v \
151151
###############################################################################
152152
all: clean copybase copyfiles
153153
$(info DEBUG INFO)
154-
quartus_sh --flow compile $(PRJ_TOP_NAME).qpf 2>&1 | tee log.txt
155-
quartus_sh -t $(QTCL_FILE) --flow compile $(PRJ_TOP_NAME) 2>>&1 | tee log.txt
156-
quartus_syn -t $(QTCL_FILE) $(PRJ_TOP_NAME) 2>>&1 | tee log.txt
157-
quartus_fit -t $(QTCL_FILE) $(PRJ_TOP_NAME) 2>>&1 | tee log.txt
158-
quartus_asm -t $(QTCL_FILE) $(PRJ_TOP_NAME) 2>>&1 | tee log.txt
159-
quartus_sta -t $(QTCL_FILE) $(PRJ_TOP_NAME) 2>>&1 | tee log.txt
160-
quartus_eda -t $(QTCL_FILE) $(PRJ_TOP_NAME) --simulation --tool=modelsim --format=verilog 2>>&1 | tee log.txt
154+
quartus_sh --flow compile $(PRJ_TOP_NAME).qpf
155+
quartus_sh -t $(QTCL_FILE) --flow compile $(PRJ_TOP_NAME)
156+
quartus_syn -t $(QTCL_FILE) $(PRJ_TOP_NAME)
157+
quartus_fit -t $(QTCL_FILE) $(PRJ_TOP_NAME)
158+
quartus_asm -t $(QTCL_FILE) $(PRJ_TOP_NAME)
159+
quartus_sta -t $(QTCL_FILE) $(PRJ_TOP_NAME)
160+
quartus_eda -t $(QTCL_FILE) $(PRJ_TOP_NAME) --simulation --tool=modelsim --format=verilog
161161
.PHONY: all
162162

163163
# Copy base files
@@ -178,4 +178,4 @@ clean:
178178
# Create a tar ball for project
179179
turnin:
180180
$(TR) -czvf $(PRJ_TOP_NAME) *
181-
.PHONY: turnin
181+
.PHONY: turnin

intel/zeowaa-e115/DC-DC-POWER.pdf

74.2 KB
Binary file not shown.
63.5 KB
Binary file not shown.

intel/zeowaa-e115/E115-FPGA.pdf

82 KB
Binary file not shown.

intel/zeowaa-e115/E115-IO.pdf

84.6 KB
Binary file not shown.

intel/zeowaa-e115/E115-POWER.pdf

66.9 KB
Binary file not shown.

intel/zeowaa-e115/IOBUF.ip

Lines changed: 582 additions & 0 deletions
Large diffs are not rendered by default.

intel/zeowaa-e115/IOBUF/IOBUF.bsf

Lines changed: 82 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,82 @@
1+
/*
2+
WARNING: Do NOT edit the input and output ports in this file in a text
3+
editor if you plan to continue editing the block that represents it in
4+
the Block Editor! File corruption is VERY likely to occur.
5+
*/
6+
/*
7+
Copyright (C) 2020 Intel Corporation. All rights reserved.
8+
Your use of Intel Corporation's design tools, logic functions
9+
and other software and tools, and any partner logic
10+
functions, and any output files from any of the foregoing
11+
(including device programming or simulation files), and any
12+
associated documentation or information are expressly subject
13+
to the terms and conditions of the Intel Program License
14+
Subscription Agreement, the Intel Quartus Prime License Agreement,
15+
the Intel FPGA IP License Agreement, or other applicable license
16+
agreement, including, without limitation, that your use is for
17+
the sole purpose of programming logic devices manufactured by
18+
Intel and sold by Intel or its authorized distributors. Please
19+
refer to the applicable agreement for further details, at
20+
https://fpgasoftware.intel.com/eula.
21+
*/
22+
(header "symbol" (version "1.1"))
23+
(symbol
24+
(rect 0 0 176 184)
25+
(text "IOBUF" (rect 69 -1 97 11)(font "Arial" (font_size 10)))
26+
(text "inst" (rect 8 168 20 180)(font "Arial" ))
27+
(port
28+
(pt 0 72)
29+
(input)
30+
(text "datain" (rect 0 0 22 12)(font "Arial" (font_size 8)))
31+
(text "datain" (rect 4 61 40 72)(font "Arial" (font_size 8)))
32+
(line (pt 0 72)(pt 48 72)(line_width 1))
33+
)
34+
(port
35+
(pt 0 112)
36+
(input)
37+
(text "oe" (rect 0 0 9 12)(font "Arial" (font_size 8)))
38+
(text "oe" (rect 4 101 16 112)(font "Arial" (font_size 8)))
39+
(line (pt 0 112)(pt 48 112)(line_width 1))
40+
)
41+
(port
42+
(pt 176 72)
43+
(output)
44+
(text "dataout" (rect 0 0 28 12)(font "Arial" (font_size 8)))
45+
(text "dataout" (rect 138 61 180 72)(font "Arial" (font_size 8)))
46+
(line (pt 176 72)(pt 128 72)(line_width 1))
47+
)
48+
(port
49+
(pt 0 152)
50+
(bidir)
51+
(text "padio" (rect 0 0 20 12)(font "Arial" (font_size 8)))
52+
(text "padio" (rect 4 141 34 152)(font "Arial" (font_size 8)))
53+
(line (pt 0 152)(pt 48 152)(line_width 1))
54+
)
55+
(drawing
56+
(text "dout" (rect 129 43 282 99)(font "Arial" (color 128 0 0)(font_size 9)))
57+
(text "export" (rect 98 67 232 144)(font "Arial" (color 0 0 0)))
58+
(text "din" (rect 32 43 82 99)(font "Arial" (color 128 0 0)(font_size 9)))
59+
(text "export" (rect 53 67 142 144)(font "Arial" (color 0 0 0)))
60+
(text "oe" (rect 35 83 82 179)(font "Arial" (color 128 0 0)(font_size 9)))
61+
(text "export" (rect 53 107 142 224)(font "Arial" (color 0 0 0)))
62+
(text "pad_io" (rect 10 123 56 259)(font "Arial" (color 128 0 0)(font_size 9)))
63+
(text "export" (rect 53 147 142 304)(font "Arial" (color 0 0 0)))
64+
(text " IOBUF " (rect 144 168 330 346)(font "Arial" ))
65+
(line (pt 48 32)(pt 128 32)(line_width 1))
66+
(line (pt 128 32)(pt 128 168)(line_width 1))
67+
(line (pt 48 168)(pt 128 168)(line_width 1))
68+
(line (pt 48 32)(pt 48 168)(line_width 1))
69+
(line (pt 127 52)(pt 127 76)(line_width 1))
70+
(line (pt 126 52)(pt 126 76)(line_width 1))
71+
(line (pt 49 52)(pt 49 76)(line_width 1))
72+
(line (pt 50 52)(pt 50 76)(line_width 1))
73+
(line (pt 49 92)(pt 49 116)(line_width 1))
74+
(line (pt 50 92)(pt 50 116)(line_width 1))
75+
(line (pt 49 132)(pt 49 156)(line_width 1))
76+
(line (pt 50 132)(pt 50 156)(line_width 1))
77+
(line (pt 0 0)(pt 176 0)(line_width 1))
78+
(line (pt 176 0)(pt 176 184)(line_width 1))
79+
(line (pt 0 184)(pt 176 184)(line_width 1))
80+
(line (pt 0 0)(pt 0 184)(line_width 1))
81+
)
82+
)

intel/zeowaa-e115/IOBUF/IOBUF.cmp

Lines changed: 9 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,9 @@
1+
component IOBUF is
2+
port (
3+
dataout : out std_logic_vector(0 downto 0); -- export
4+
datain : in std_logic_vector(0 downto 0) := (others => 'X'); -- export
5+
oe : in std_logic_vector(0 downto 0) := (others => 'X'); -- export
6+
padio : inout std_logic_vector(0 downto 0) := (others => 'X') -- export
7+
);
8+
end component IOBUF;
9+

intel/zeowaa-e115/IOBUF/IOBUF.csv

Lines changed: 20 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,20 @@
1+
# system info IOBUF on 2020.07.29.10:13:24
2+
system_info:
3+
name,value
4+
DEVICE,1SG280LU2F50E2VG
5+
DEVICE_FAMILY,Stratix 10
6+
GENERATION_ID,0
7+
#
8+
#
9+
# Files generated for IOBUF on 2020.07.29.10:13:24
10+
files:
11+
filepath,kind,attributes,module,is_top
12+
sim/IOBUF.v,VERILOG,CONTAINS_INLINE_CONFIGURATION,IOBUF,true
13+
altera_gpio_1930/sim/IOBUF_altera_gpio_1930_alkbnhy.v,VERILOG,CONTAINS_INLINE_CONFIGURATION,IOBUF_altera_gpio_1930_alkbnhy,false
14+
altera_gpio_core14_191/sim/altera_gpio.sv,SYSTEM_VERILOG,,altera_gpio,false
15+
#
16+
# Map from instance-path to kind of module
17+
instances:
18+
instancePath,module
19+
IOBUF.gpio_0,IOBUF_altera_gpio_1930_alkbnhy
20+
IOBUF.gpio_0.core,altera_gpio

0 commit comments

Comments
 (0)