Skip to content

Commit 4871dd8

Browse files
committed
SPI [MAINTENANCE]: Change some code style
1 parent 5b19610 commit 4871dd8

File tree

4 files changed

+9
-9
lines changed

4 files changed

+9
-9
lines changed

example/spi_loopback.vhd

Lines changed: 2 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -49,7 +49,7 @@ entity SPI_LOOPBACK is
4949
SSEG : out std_logic_vector(7 downto 0);
5050
SSEG_AN : out std_logic_vector(3 downto 0)
5151
);
52-
end SPI_LOOPBACK;
52+
end entity;
5353

5454
architecture RTL of SPI_LOOPBACK is
5555

@@ -298,4 +298,4 @@ begin
298298
SSEG_AN => SSEG_AN
299299
);
300300

301-
end RTL;
301+
end architecture;

rtl/spi_master.vhd

Lines changed: 2 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -56,7 +56,7 @@ entity SPI_MASTER is
5656
DOUT : out std_logic_vector(7 downto 0); -- output data from SPI slave
5757
DOUT_VLD : out std_logic -- when DOUT_VLD = 1, output data are valid
5858
);
59-
end SPI_MASTER;
59+
end entity;
6060

6161
architecture RTL of SPI_MASTER is
6262

@@ -352,4 +352,4 @@ begin
352352
end case;
353353
end process;
354354

355-
end RTL;
355+
end architecture;

rtl/spi_slave.vhd

Lines changed: 3 additions & 3 deletions
Original file line numberDiff line numberDiff line change
@@ -33,7 +33,7 @@ use IEEE.MATH_REAL.ALL;
3333
-- THE SPI SLAVE MODULE SUPPORT ONLY SPI MODE 0 (CPOL=0, CPHA=0)!!!
3434

3535
entity SPI_SLAVE is
36-
Generic(
36+
Generic (
3737
WORD_SIZE : natural := 8 -- size of transfer word in bits, must be power of two
3838
);
3939
Port (
@@ -51,7 +51,7 @@ entity SPI_SLAVE is
5151
DOUT : out std_logic_vector(WORD_SIZE-1 downto 0); -- output data from SPI master
5252
DOUT_VLD : out std_logic -- when DOUT_VLD = 1, output data are valid
5353
);
54-
end SPI_SLAVE;
54+
end entity;
5555

5656
architecture RTL of SPI_SLAVE is
5757

@@ -217,4 +217,4 @@ begin
217217
DOUT <= data_shreg;
218218
DOUT_VLD <= rx_data_vld;
219219

220-
end RTL;
220+
end architecture;

sim/spi_tb.vhd

Lines changed: 2 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -31,7 +31,7 @@ use IEEE.NUMERIC_STD.ALL;
3131
use IEEE.MATH_REAL.ALL;
3232

3333
entity SPI_TB is
34-
end SPI_TB;
34+
end entity;
3535

3636
architecture SIM of SPI_TB is
3737

@@ -184,4 +184,4 @@ begin
184184
wait;
185185
end process;
186186

187-
end SIM;
187+
end architecture;

0 commit comments

Comments
 (0)