File tree Expand file tree Collapse file tree 4 files changed +9
-9
lines changed Expand file tree Collapse file tree 4 files changed +9
-9
lines changed Original file line number Diff line number Diff line change @@ -49,7 +49,7 @@ entity SPI_LOOPBACK is
49
49
SSEG : out std_logic_vector (7 downto 0 );
50
50
SSEG_AN : out std_logic_vector (3 downto 0 )
51
51
);
52
- end SPI_LOOPBACK ;
52
+ end entity ;
53
53
54
54
architecture RTL of SPI_LOOPBACK is
55
55
@@ -298,4 +298,4 @@ begin
298
298
SSEG_AN => SSEG_AN
299
299
);
300
300
301
- end RTL ;
301
+ end architecture ;
Original file line number Diff line number Diff line change @@ -56,7 +56,7 @@ entity SPI_MASTER is
56
56
DOUT : out std_logic_vector (7 downto 0 ); -- output data from SPI slave
57
57
DOUT_VLD : out std_logic -- when DOUT_VLD = 1, output data are valid
58
58
);
59
- end SPI_MASTER ;
59
+ end entity ;
60
60
61
61
architecture RTL of SPI_MASTER is
62
62
@@ -352,4 +352,4 @@ begin
352
352
end case ;
353
353
end process ;
354
354
355
- end RTL ;
355
+ end architecture ;
Original file line number Diff line number Diff line change @@ -33,7 +33,7 @@ use IEEE.MATH_REAL.ALL;
33
33
-- THE SPI SLAVE MODULE SUPPORT ONLY SPI MODE 0 (CPOL=0, CPHA=0)!!!
34
34
35
35
entity SPI_SLAVE is
36
- Generic (
36
+ Generic (
37
37
WORD_SIZE : natural := 8 -- size of transfer word in bits, must be power of two
38
38
);
39
39
Port (
@@ -51,7 +51,7 @@ entity SPI_SLAVE is
51
51
DOUT : out std_logic_vector (WORD_SIZE- 1 downto 0 ); -- output data from SPI master
52
52
DOUT_VLD : out std_logic -- when DOUT_VLD = 1, output data are valid
53
53
);
54
- end SPI_SLAVE ;
54
+ end entity ;
55
55
56
56
architecture RTL of SPI_SLAVE is
57
57
@@ -217,4 +217,4 @@ begin
217
217
DOUT <= data_shreg;
218
218
DOUT_VLD <= rx_data_vld;
219
219
220
- end RTL ;
220
+ end architecture ;
Original file line number Diff line number Diff line change @@ -31,7 +31,7 @@ use IEEE.NUMERIC_STD.ALL;
31
31
use IEEE.MATH_REAL.ALL ;
32
32
33
33
entity SPI_TB is
34
- end SPI_TB ;
34
+ end entity ;
35
35
36
36
architecture SIM of SPI_TB is
37
37
@@ -184,4 +184,4 @@ begin
184
184
wait ;
185
185
end process ;
186
186
187
- end SIM ;
187
+ end architecture ;
You can’t perform that action at this time.
0 commit comments