This repository contains package scripts for electronic design automation (EDA) tools/projects to be built as MinGW-w64 targets on MSYS2. See CONTEXT for a discussion about other packaging alternatives for EDA tooling.
The upstream of this repo is msys2/MINGW-packages. Branch master is kept in sync with that. Therefore, all the PRs involving modifications which are meant to be upstreamed should be opened against branch master
.
Other than that, branch main
and other branches contain sources providing different configuration options and/or features which were not upstreamed yet.
- BitMan
- Bootlector
- open-tool-forge/fpga-toolchain (static)
- GHDL : mingw-w64-ghdl (i686, x86_64)
- msys2/MINGW-packages issues: #5757 #6688
- open-tool-forge/fpga-toolchain (static)
- ghdl-yosys-plugin
- open-tool-forge/fpga-toolchain (static)
- Graphviz : mingw-w64-graphviz (i686, x86_64)
- GTKWave : mingw-w64-gtkwave (i686, x86_64)
- icestorm WIP hdl/MINGW-packages@icestorm: mingw-w64-icestorm
- iverilog WIP hdl/MINGW-packages@iverilog: mingw-w64-iverilog
- ImHex
- nextpnr
- open-tool-forge/fpga-toolchain (static)
- prjtrellis
- open-tool-forge/fpga-toolchain (static)
- sigrok-cli
- Surelog WIP hdl/MINGW-packages@surelog: mingw-w64-surelog
- SymbiYosys
- open-tool-forge/fpga-toolchain (static)
- teuchos/trilinos
- UHDM WIP hdl/MINGW-packages@UHDM: mingw-w64-UHDM
- Verible
- Verilator : mingw-w64-verilator (i686, x86_64)
- VHDLproc
- VPR
- VTR
- Xyce
- Yices 2 : mingw-w64-yices (i686, x86_64)
- open-tool-forge/fpga-toolchain (static)
- Yosys WIP hdl/MINGW-packages@yosys: mingw-w64-yosys
- Z3 : mingw-w64-z3 (i686, x86_64)
- open-tool-forge/fpga-toolchain (static)
- dfu-util : mingw-w64-dfu-util (i686, x86_64)
- open-tool-forge/fpga-toolchain (static)
- ecpprog WIP hdl/MINGW-packages@ecpprog: mingw-w64-ecpprog
- fujprog WIP hdl/MINGW-packages@fujprog: mingw-w64-fujprog
- icesprog : mingw-w64-icesprog (i686, x86_64)
- openFPGALoader WIP hdl/MINGW-packages@openFPGALoader: mingw-w64-openFPGALoader
- OpenOCD : mingw-w64-openocd (i686, x86_64)