forked from parasgoyal12/CS203TeachingAid
-
Notifications
You must be signed in to change notification settings - Fork 0
/
vivado.jou
80 lines (80 loc) · 4.25 KB
/
vivado.jou
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
#-----------------------------------------------------------
# Vivado v2019.1 (64-bit)
# SW Build 2552052 on Fri May 24 14:47:09 MDT 2019
# IP Build 2548770 on Fri May 24 18:01:18 MDT 2019
# Start of session at: Wed Nov 20 23:39:51 2019
# Process ID: 8596
# Current directory: /home/2018csb1111/Desktop/cs203FinalProject
# Command line: vivado
# Log file: /home/2018csb1111/Desktop/cs203FinalProject/vivado.log
# Journal file: /home/2018csb1111/Desktop/cs203FinalProject/vivado.jou
#-----------------------------------------------------------
start_gui
open_project /home/2018csb1111/Desktop/cs203FinalProject/project_1/project_1.xpr
update_compile_order -fileset sources_1
close [ open /home/2018csb1111/Desktop/cs203FinalProject/project_1/project_1.srcs/constrs_1/new/qm.xdc w ]
add_files -fileset constrs_1 /home/2018csb1111/Desktop/cs203FinalProject/project_1/project_1.srcs/constrs_1/new/qm.xdc
set_property is_enabled false [get_files /home/2018csb1111/Desktop/cs203FinalProject/project_1/project_1.srcs/constrs_1/new/main.xdc]
set_property target_constrs_file /home/2018csb1111/Desktop/cs203FinalProject/project_1/project_1.srcs/constrs_1/new/qm.xdc [current_fileset -constrset]
# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention.
set_property source_mgmt_mode None [current_project]
set_property top qm [current_fileset]
# Re-enabling previously disabled source management mode.
set_property source_mgmt_mode All [current_project]
update_compile_order -fileset sources_1
reset_run synth_1
launch_runs synth_1 -jobs 4
wait_on_run synth_1
launch_runs impl_1 -jobs 4
wait_on_run impl_1
reset_run synth_1
launch_runs synth_1 -jobs 4
wait_on_run synth_1
launch_runs impl_1 -jobs 4
wait_on_run impl_1
launch_runs impl_1 -to_step write_bitstream -jobs 4
wait_on_run impl_1
open_hw
connect_hw_server
open_hw_target
set_property PROGRAM.FILE {/home/2018csb1111/Desktop/cs203FinalProject/project_1/project_1.runs/impl_1/qm.bit} [get_hw_devices xc7a35t_0]
current_hw_device [get_hw_devices xc7a35t_0]
refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7a35t_0] 0]
set_property PROBES.FILE {} [get_hw_devices xc7a35t_0]
set_property FULL_PROBES.FILE {} [get_hw_devices xc7a35t_0]
set_property PROGRAM.FILE {/home/2018csb1111/Desktop/cs203FinalProject/project_1/project_1.runs/impl_1/qm.bit} [get_hw_devices xc7a35t_0]
program_hw_devices [get_hw_devices xc7a35t_0]
refresh_hw_device [lindex [get_hw_devices xc7a35t_0] 0]
reset_run synth_1
launch_runs synth_1 -jobs 4
wait_on_run synth_1
launch_runs impl_1 -jobs 4
wait_on_run impl_1
launch_runs impl_1 -to_step write_bitstream -jobs 4
wait_on_run impl_1
set_property PROBES.FILE {} [get_hw_devices xc7a35t_0]
set_property FULL_PROBES.FILE {} [get_hw_devices xc7a35t_0]
set_property PROGRAM.FILE {/home/2018csb1111/Desktop/cs203FinalProject/project_1/project_1.runs/impl_1/qm.bit} [get_hw_devices xc7a35t_0]
program_hw_devices [get_hw_devices xc7a35t_0]
refresh_hw_device [lindex [get_hw_devices xc7a35t_0] 0]
# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention.
set_property source_mgmt_mode None [current_project]
set_property top main [current_fileset]
# Re-enabling previously disabled source management mode.
set_property source_mgmt_mode All [current_project]
set_property is_enabled false [get_files /home/2018csb1111/Desktop/cs203FinalProject/project_1/project_1.srcs/constrs_1/new/qm.xdc]
update_compile_order -fileset sources_1
set_property is_enabled true [get_files /home/2018csb1111/Desktop/cs203FinalProject/project_1/project_1.srcs/constrs_1/new/main.xdc]
set_property target_constrs_file /home/2018csb1111/Desktop/cs203FinalProject/project_1/project_1.srcs/constrs_1/new/main.xdc [current_fileset -constrset]
reset_run synth_1
launch_runs synth_1 -jobs 4
wait_on_run synth_1
launch_runs impl_1 -jobs 4
wait_on_run impl_1
launch_runs impl_1 -to_step write_bitstream -jobs 4
wait_on_run impl_1
set_property PROBES.FILE {} [get_hw_devices xc7a35t_0]
set_property FULL_PROBES.FILE {} [get_hw_devices xc7a35t_0]
set_property PROGRAM.FILE {/home/2018csb1111/Desktop/cs203FinalProject/project_1/project_1.runs/impl_1/main.bit} [get_hw_devices xc7a35t_0]
program_hw_devices [get_hw_devices xc7a35t_0]
refresh_hw_device [lindex [get_hw_devices xc7a35t_0] 0]