Skip to content

[9.0] Wasm build terminated with exit code 137 #108299

Open

Description

This is similar to this out-of-memory issue from April: #94077

Build Information

Build: https://dev.azure.com/dnceng-public/public/_build/results?buildId=817011
Build error leg or test failing: src/tests/build.proj

Error Message

{
  "ErrorMessage" : [
    "src/tests/build.proj(622,5)",
    "/p:TargetArchitecture=wasm",
    "exited with code 137"
  ],
  "BuildRetry" : false,
  "ExcludeConsoleLog" : false
}
...
    Runtime_49998 -> /__w/1/s/artifacts/tests/coreclr/browser.wasm.Release/Regressions/coreclr/Runtime_49998/Runtime_49998/Runtime_49998.dll
  /__w/1/s/dotnet.sh: line 27: 48814 Killed                  ${dotnetPath} "$@"
/__w/1/s/src/tests/build.proj(622,5): error MSB3073: The command ""/__w/1/s/dotnet.sh" msbuild /__w/1/s/src/tests/build.proj /t:Build "/p:TargetArchitecture=wasm" "/p:Configuration=Release" "/p:LibrariesConfiguration=Release" "/p:TasksConfiguration=Release" "/p:TargetOS=browser" "/p:ToolsOS=" "/p:PackageOS=" "/p:RuntimeFlavor=mono" "/p:RuntimeVariant=monointerpreter" "/p:CLRTestBuildAllTargets=" "/p:UseCodeFlowEnforcement=" "/p:__TestGroupToBuild=3" "/p:__SkipRestorePackages=1" /nodeReuse:false /m:1 /bl:/__w/1/s/artifacts//log/Release/InnerManagedTestBuild.3.binlog" exited with code 137.

Known issue validation

Build: 🔎 https://dev.azure.com/dnceng-public/public/_build/results?buildId=817011
Error message validated: [src/tests/build.proj(622,5) /p:TargetArchitecture=wasm exited with code 137]
Result validation: ✅ Known issue matched with the provided build.
Validation performed at: 9/26/2024 7:25:44 PM UTC

Report

Build Definition Step Name Console log Pull Request
842138 dotnet/runtime Build Tests Log #108856
838542 dotnet/runtime Build Tests Log #108294
838493 dotnet/runtime Build Tests Log #108482
817011 dotnet/runtime Build Tests Log #108194

Summary

24-Hour Hit Count 7-Day Hit Count 1-Month Count
0 1 4
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment

Metadata

Assignees

No one assigned

    Labels

    Type

    No type

    Projects

    No projects

    Milestone

    Relationships

    None yet

    Development

    No branches or pull requests

    Issue actions