Skip to content

dilipboidya/VerilogProject

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

7 Commits
 
 
 
 
 
 
 
 
 
 
 
 
 
 

Repository files navigation

VerilogProject

Tools:- Iverilog, GTKWAVE, notepadqq

In this project all the following logic circuits will be added-

  • [or gate]
  • [and gate]
  • [not gate]
  • [xor gate]
  • [nor gate]
  • [nand gate]
  • ...

How to run a verilog file (.v) in iverilog?

Lets try in terminal for that write a verilog code, change the directory where it is located do the following-

>> iverilog -o testbenchfilename.vvp testbenchfilename.v
>> vvp testbenchfilename.vvp 
>> gtkwave

How to use the basic gate folders uploaded in this repo?

Lets try in terminal for that, download any of the folder, change the directory where it is downloaded do the following-

>> gtkwave

About

No description, website, or topics provided.

Resources

License

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published