Skip to content

Commit f838698

Browse files
author
Konstantin Pavlov
committed
Removed tabs
1 parent 829d84b commit f838698

File tree

4 files changed

+50
-50
lines changed

4 files changed

+50
-50
lines changed

ClkDivider.sv

Lines changed: 8 additions & 8 deletions
Original file line numberDiff line numberDiff line change
@@ -1,9 +1,9 @@
1-
//--------------------------------------------------------------------------------
1+
//------------------------------------------------------------------------------
22
// ClkDivider.sv
33
// Konstantin Pavlov, pavlovconst@gmail.com
4-
//--------------------------------------------------------------------------------
4+
//------------------------------------------------------------------------------
55

6-
// INFO --------------------------------------------------------------------------------
6+
// INFO ------------------------------------------------------------------------
77
// Divides main clock to get derivative slower synchronous clocks
88

99

@@ -30,11 +30,11 @@ module ClkDivider #(
3030

3131

3232
always_ff @(posedge clk) begin
33-
if ( ~nrst ) begin
34-
out[(WIDTH-1):0] <= 0;
35-
end else begin
36-
out[(WIDTH-1):0] <= out[(WIDTH-1):0] + 1'b1;
37-
end
33+
if ( ~nrst ) begin
34+
out[(WIDTH-1):0] <= 0;
35+
end else begin
36+
out[(WIDTH-1):0] <= out[(WIDTH-1):0] + 1'b1;
37+
end
3838
end
3939

4040
endmodule

ClkDivider.v

Lines changed: 12 additions & 11 deletions
Original file line numberDiff line numberDiff line change
@@ -1,10 +1,11 @@
1-
//--------------------------------------------------------------------------------
1+
//------------------------------------------------------------------------------
22
// ClkDivider.v
33
// Konstantin Pavlov, pavlovconst@gmail.com
4-
//--------------------------------------------------------------------------------
4+
//------------------------------------------------------------------------------
55

6-
// INFO --------------------------------------------------------------------------------
7-
// Divides main clock to get derivative slower synchronous clocks
6+
// INFO ------------------------------------------------------------------------
7+
// Divides main clock to get derivative slower synchronous clocks
8+
// See ClkDivider.sv file for SystemVerilog version of this module
89

910

1011
/* --- INSTANTIATION TEMPLATE BEGIN ---
@@ -28,12 +29,12 @@ output reg [(WIDTH-1):0] out = 0;
2829
parameter WIDTH = 32;
2930

3031
always @ (posedge clk) begin
31-
if (~nrst) begin
32-
out[(WIDTH-1):0] <= 0;
33-
end
34-
else begin
35-
out[(WIDTH-1):0] <= out[(WIDTH-1):0] + 1'b1;
36-
end
32+
if (~nrst) begin
33+
out[(WIDTH-1):0] <= 0;
34+
end
35+
else begin
36+
out[(WIDTH-1):0] <= out[(WIDTH-1):0] + 1'b1;
37+
end
3738
end
3839

39-
endmodule
40+
endmodule

EdgeDetect.sv

Lines changed: 13 additions & 13 deletions
Original file line numberDiff line numberDiff line change
@@ -1,11 +1,11 @@
1-
//--------------------------------------------------------------------------------
1+
//------------------------------------------------------------------------------
22
// EdgeDetect.sv
33
// Konstantin Pavlov, pavlovconst@gmail.com
4-
//--------------------------------------------------------------------------------
4+
//------------------------------------------------------------------------------
55

6-
// INFO --------------------------------------------------------------------------------
7-
// Variable width edge detector
8-
// One tick propagation time
6+
// INFO ------------------------------------------------------------------------
7+
// Variable width edge detector
8+
// Features one tick propagation time
99

1010

1111
/* --- INSTANTIATION TEMPLATE BEGIN ---
@@ -40,16 +40,16 @@ module EdgeDetect #(
4040
logic [(WIDTH-1):0] in_prev = 0;
4141

4242
always_ff @(posedge clk) begin
43-
if ( ~nrst ) begin
44-
in_prev <= 0;
45-
rising <= 0;
46-
falling <= 0;
47-
end
48-
else begin
49-
in_prev <= in;
43+
if ( ~nrst ) begin
44+
in_prev <= 0;
45+
rising <= 0;
46+
falling <= 0;
47+
end
48+
else begin
49+
in_prev <= in;
5050
rising[(WIDTH-1):0] <= in[(WIDTH-1):0] & ~in_prev[(WIDTH-1):0];
5151
falling[(WIDTH-1):0] <= ~in[(WIDTH-1):0] & in_prev[(WIDTH-1):0];
52-
end
52+
end
5353
end
5454

5555
assign both[(WIDTH-1):0] = rising[(WIDTH-1):0] | falling[(WIDTH-1):0];

EdgeDetect.v

Lines changed: 17 additions & 18 deletions
Original file line numberDiff line numberDiff line change
@@ -1,11 +1,12 @@
1-
//--------------------------------------------------------------------------------
1+
//------------------------------------------------------------------------------
22
// EdgeDetect.v
33
// Konstantin Pavlov, pavlovconst@gmail.com
4-
//--------------------------------------------------------------------------------
4+
//------------------------------------------------------------------------------
55

6-
// INFO --------------------------------------------------------------------------------
7-
// Simply edge detector
8-
// One tick propagation time
6+
// INFO ------------------------------------------------------------------------
7+
// Variable width edge detector
8+
// One tick propagation time
9+
// See EdgeDetect.sv file for SystemVerilog version of this module
910

1011

1112
/*EdgeDetect ED1 (
@@ -32,20 +33,18 @@ output wire [(WIDTH-1):0] both;
3233
parameter WIDTH = 1;
3334

3435
reg [(WIDTH-1):0] in_prev = 0;
35-
36+
3637
always @ (posedge clk) begin
37-
if (~nrst) begin
38-
in_prev <= 0;
39-
40-
rising <= 0;
41-
falling <= 0;
42-
end
43-
else begin
44-
in_prev <= in;
45-
46-
rising[(WIDTH-1):0] <= in[(WIDTH-1):0] & ~in_prev[(WIDTH-1):0];
47-
falling[(WIDTH-1):0] <= ~in[(WIDTH-1):0] & in_prev[(WIDTH-1):0];
48-
end
38+
if ( ~nrst ) begin
39+
in_prev <= 0;
40+
rising <= 0;
41+
falling <= 0;
42+
end
43+
else begin
44+
in_prev <= in;
45+
rising[(WIDTH-1):0] <= in[(WIDTH-1):0] & ~in_prev[(WIDTH-1):0];
46+
falling[(WIDTH-1):0] <= ~in[(WIDTH-1):0] & in_prev[(WIDTH-1):0];
47+
end
4948
end
5049

5150
assign

0 commit comments

Comments
 (0)