This repository has been archived by the owner on Nov 4, 2021. It is now read-only.
This repository has been archived by the owner on Nov 4, 2021. It is now read-only.
Open
Description
library ieee;
context ieee.ieee_std_context;
entity tb is
end;
architecture arch of tb is
begin
process
begin
report "Start simulation" severity note;
report "End simulation" severity failure;
end process;
end;
export FPGA_TOOLCHAIN=/t/fomu/fomu-toolchain-Windows
PATH=$FPGA_TOOLCHAIN/bin:$PATH ghdl -a --std=08 sim_fault.vhd
PATH=$FPGA_TOOLCHAIN/bin:$PATH ghdl -r --std=08 tb
Segmentation fault
Metadata
Assignees
Labels
No labels