-
Notifications
You must be signed in to change notification settings - Fork 0
/
constraints.xdc
executable file
·105 lines (102 loc) · 6.1 KB
/
constraints.xdc
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
set_property IOSTANDARD LVCMOS33 [get_ports *]
set_property PACKAGE_PIN Y18 [get_ports clock]
set_property PACKAGE_PIN P20 [get_ports reset]
set_property PACKAGE_PIN M5 [get_ports out_uart_tx]
set_property PACKAGE_PIN M6 [get_ports out_uart_rx]
set_property PACKAGE_PIN C19 [get_ports out_tubes_enable_0]
set_property PACKAGE_PIN E19 [get_ports out_tubes_enable_1]
set_property PACKAGE_PIN D19 [get_ports out_tubes_enable_2]
set_property PACKAGE_PIN F18 [get_ports out_tubes_enable_3]
set_property PACKAGE_PIN E18 [get_ports out_tubes_enable_4]
set_property PACKAGE_PIN B20 [get_ports out_tubes_enable_5]
set_property PACKAGE_PIN A20 [get_ports out_tubes_enable_6]
set_property PACKAGE_PIN A18 [get_ports out_tubes_enable_7]
set_property PACKAGE_PIN F15 [get_ports out_tubes_tubes_0]
set_property PACKAGE_PIN F13 [get_ports out_tubes_tubes_1]
set_property PACKAGE_PIN F14 [get_ports out_tubes_tubes_2]
set_property PACKAGE_PIN F16 [get_ports out_tubes_tubes_3]
set_property PACKAGE_PIN E17 [get_ports out_tubes_tubes_4]
set_property PACKAGE_PIN C14 [get_ports out_tubes_tubes_5]
set_property PACKAGE_PIN C15 [get_ports out_tubes_tubes_6]
set_property PACKAGE_PIN E13 [get_ports out_tubes_tubes_7]
set_property PACKAGE_PIN W4 [get_ports out_switches_0]
set_property PACKAGE_PIN R4 [get_ports out_switches_1]
set_property PACKAGE_PIN T4 [get_ports out_switches_2]
set_property PACKAGE_PIN T5 [get_ports out_switches_3]
set_property PACKAGE_PIN U5 [get_ports out_switches_4]
set_property PACKAGE_PIN W6 [get_ports out_switches_5]
set_property PACKAGE_PIN W5 [get_ports out_switches_6]
set_property PACKAGE_PIN U6 [get_ports out_switches_7]
set_property PACKAGE_PIN V5 [get_ports out_switches_8]
set_property PACKAGE_PIN R6 [get_ports out_switches_9]
set_property PACKAGE_PIN T6 [get_ports out_switches_10]
set_property PACKAGE_PIN Y6 [get_ports out_switches_11]
set_property PACKAGE_PIN AA6 [get_ports out_switches_12]
set_property PACKAGE_PIN V7 [get_ports out_switches_13]
set_property PACKAGE_PIN AB7 [get_ports out_switches_14]
set_property PACKAGE_PIN AB6 [get_ports out_switches_15]
set_property PACKAGE_PIN V9 [get_ports out_switches_16]
set_property PACKAGE_PIN V8 [get_ports out_switches_17]
set_property PACKAGE_PIN AA8 [get_ports out_switches_18]
set_property PACKAGE_PIN AB8 [get_ports out_switches_19]
set_property PACKAGE_PIN Y8 [get_ports out_switches_20]
set_property PACKAGE_PIN Y7 [get_ports out_switches_21]
set_property PACKAGE_PIN W9 [get_ports out_switches_22]
set_property PACKAGE_PIN Y9 [get_ports out_switches_23]
set_property PACKAGE_PIN P5 [get_ports out_buttons_up]
set_property PACKAGE_PIN P2 [get_ports out_buttons_down]
set_property PACKAGE_PIN P1 [get_ports out_buttons_left]
set_property PACKAGE_PIN R1 [get_ports out_buttons_right]
set_property PACKAGE_PIN P4 [get_ports out_buttons_center]
set_property PACKAGE_PIN K4 [get_ports out_keyboard_rows_0]
set_property PACKAGE_PIN J4 [get_ports out_keyboard_rows_1]
set_property PACKAGE_PIN L3 [get_ports out_keyboard_rows_2]
set_property PACKAGE_PIN K3 [get_ports out_keyboard_rows_3]
set_property PACKAGE_PIN M2 [get_ports out_keyboard_cols_0]
set_property PACKAGE_PIN K6 [get_ports out_keyboard_cols_1]
set_property PACKAGE_PIN J6 [get_ports out_keyboard_cols_2]
set_property PACKAGE_PIN L5 [get_ports out_keyboard_cols_3]
set_property PACKAGE_PIN M21 [get_ports out_vga_hsync]
set_property PACKAGE_PIN L21 [get_ports out_vga_vsync]
set_property PACKAGE_PIN G17 [get_ports {out_vga_data_r[0]}]
set_property PACKAGE_PIN G18 [get_ports {out_vga_data_r[1]}]
set_property PACKAGE_PIN J15 [get_ports {out_vga_data_r[2]}]
set_property PACKAGE_PIN H15 [get_ports {out_vga_data_r[3]}]
set_property PACKAGE_PIN H17 [get_ports {out_vga_data_g[0]}]
set_property PACKAGE_PIN H18 [get_ports {out_vga_data_g[1]}]
set_property PACKAGE_PIN J22 [get_ports {out_vga_data_g[2]}]
set_property PACKAGE_PIN H22 [get_ports {out_vga_data_g[3]}]
set_property PACKAGE_PIN H20 [get_ports {out_vga_data_b[0]}]
set_property PACKAGE_PIN G20 [get_ports {out_vga_data_b[1]}]
set_property PACKAGE_PIN K21 [get_ports {out_vga_data_b[2]}]
set_property PACKAGE_PIN K22 [get_ports {out_vga_data_b[3]}]
set_property PACKAGE_PIN A21 [get_ports out_leds_0]
set_property PACKAGE_PIN E22 [get_ports out_leds_1]
set_property PACKAGE_PIN D22 [get_ports out_leds_2]
set_property PACKAGE_PIN E21 [get_ports out_leds_3]
set_property PACKAGE_PIN D21 [get_ports out_leds_4]
set_property PACKAGE_PIN G21 [get_ports out_leds_5]
set_property PACKAGE_PIN G22 [get_ports out_leds_6]
set_property PACKAGE_PIN F21 [get_ports out_leds_7]
set_property PACKAGE_PIN J17 [get_ports out_leds_8]
set_property PACKAGE_PIN L14 [get_ports out_leds_9]
set_property PACKAGE_PIN L15 [get_ports out_leds_10]
set_property PACKAGE_PIN L16 [get_ports out_leds_11]
set_property PACKAGE_PIN K16 [get_ports out_leds_12]
set_property PACKAGE_PIN M15 [get_ports out_leds_13]
set_property PACKAGE_PIN M16 [get_ports out_leds_14]
set_property PACKAGE_PIN M17 [get_ports out_leds_15]
set_property PACKAGE_PIN N19 [get_ports out_leds_16]
set_property PACKAGE_PIN N20 [get_ports out_leds_17]
set_property PACKAGE_PIN M20 [get_ports out_leds_18]
set_property PACKAGE_PIN K13 [get_ports out_leds_19]
set_property PACKAGE_PIN K14 [get_ports out_leds_20]
set_property PACKAGE_PIN M13 [get_ports out_leds_21]
set_property PACKAGE_PIN L13 [get_ports out_leds_22]
set_property PACKAGE_PIN K17 [get_ports out_leds_23]
set_property PACKAGE_PIN P6 [get_ports out_interrupt]
create_generated_clock -name uart_oversample_clock -source [get_pins clocks/raw/clk_uart] -divide_by 1024 -multiply_by 151 [get_nets _clocks_io_clockUart]
create_generated_clock -name tube_clock -source [get_pins clocks/clk_std] -divide_by 4096 [get_nets board/tube/tubeClock]
set_false_path -from [get_clocks -of_objects [get_pins clocks/raw/inst/mmcm_adv_inst/CLKOUT0]] -to [get_clocks uart_oversample_clock]
set_false_path -from [get_clocks uart_oversample_clock] -to [get_clocks -of_objects [get_pins clocks/raw/inst/mmcm_adv_inst/CLKOUT0]]
set_false_path -from [get_clocks uart_oversample_clock] -to [get_clocks -of_objects [get_pins clocks/raw/inst/mmcm_adv_inst/CLKOUT2]]