diff --git a/CONTRIBUTING.md b/CONTRIBUTING.md index 551d832d4..73f50236f 100644 --- a/CONTRIBUTING.md +++ b/CONTRIBUTING.md @@ -1,6 +1,5 @@ # How to Contribute -We'd love to accept your patches and contributions to this project. There are -just a few small guidelines you need to follow. +We'd love to accept your patches and contributions to this project. There are just a few small guidelines you need to follow. # Branching For various reasons, it's recommended to call working branches, even in your forks, something else other than `master` or `main`, as those two branch names do have some special behavior associated with them. diff --git a/configuration/README.md b/configuration/README.md index b7c5440a8..8c9117455 100644 --- a/configuration/README.md +++ b/configuration/README.md @@ -14,6 +14,20 @@ This page describes user-configurable variables and their default values. These variables are optional that can be specified in the design configuration file. +### General +|Variable|Description| +|-|-| +| `PDK` | Specifies the process design kit (PDK).
(Default: `sky130A` )| +| `STD_CELL_LIBRARY` | Specifies the standard cell library to be used under the specified PDK.
(Default: `sky130_fd_sc_hd` )| +| `STD_CELL_LIBRARY_OPT` | Specifies the standard cell library to be used during resizer optimizations.
(Default: `$STD_CELL_LIBRARY` )| +| `PDK_ROOT` | Specifies the folder path of the PDK. It searches for a `config.tcl` in `$PDK_ROOT/$PDK/libs.tech/openlane/` directory and at least have one standard cell library config defined in `$PDK_ROOT/$PDK/libs.tech/openlane/$STD_CELL_LIBRARY`. | +| `DIODE_PADDING` | Diode cell padding; increases the width of diode cells during placement checks.
(Default: `2` microns -- 2 sites)| +| `MERGED_LEF` | Points to `merged.lef`, which is a merger of various LEF files, including the technology lef, cells lef, any custom lefs, and IO lefs. | +| `NO_SYNTH_CELL_LIST` | Specifies the file that contains the don't-use-cell-list to be excluded from the liberty file during synthesis. If it's not defined, this path is searched `$::env(PDK_ROOT)/$::env(PDK)/libs.tech/openlane/$::env(STD_CELL_LIBRARY)/no_synth.cells` and if it's not found, then the original liberty will be used as is. | +| `DRC_EXCLUDE_CELL_LIST` | Specifies the file that contains the don't-use-cell-list to be excluded from the liberty file during synthesis and timing optimizations. If it's not defined, this path is searched `$::env(PDK_ROOT)/$::env(PDK)/libs.tech/openlane/$::env(STD_CELL_LIBRARY)/drc_exclude.cells` and if it's not found, then the original liberty will be used as is. In other words, `DRC_EXCLUDE_CELL_LIST` contain the only excluded cell list in timing optimizations. | +| `EXTRA_LEFS` | Specifies LEF files of pre-hardened macros to be merged in the design currently getting hardened | +| `EXTRA_GDS_FILES` | Specifies GDS files of pre-hardened macros to be merged in the design currently getting hardened | + ### Synthesis |Variable|Description| @@ -132,6 +146,7 @@ These variables worked initially, but they were too sky130 specific and will be | `PL_MACRO_HALO` | Macro placement halo. Format: `{Horizontal} {Vertical}`
(Default: `0 0`um). | | `PL_MACRO_CHANNEL` | Channel widths between macros. Format: `{Horizontal} {Vertical}`
(Default: `0 0`um). | | `MACRO_PLACEMENT_CFG` | Specifies the path a file specifying how openlane should place certain macros | +| `DONT_BUFFER_PORTS` | Semicolon;delimited list of nets from which to remove buffers after placement (but before resizing).
(Default: Empty) | ### CTS @@ -187,9 +202,9 @@ These variables worked initially, but they were too sky130 specific and will be | `GLB_RT_MAXLAYER` | **Removed: Use RT_MAX_LAYER**: The number of highest layer to be used in routing.
(Default: `6`)| | `GLB_RT_CLOCK_MINLAYER` | **Removed: Use RT_CLOCK_MIN_LAYER**: The number of lowest layer to be used in routing the clock net.
(Default: `GLB_RT_MINLAYER`)| | `GLB_RT_CLOCK_MAXLAYER` | **Removed: Use RT_CLOCK_MIN_LAYER**: The number of highest layer to be used in routing the clock net.
(Default: `GLB_RT_MAXLAYER`)| +| `GLB_RT_L{1/2/3/4/5/6}_ADJUSTMENT` | **Removed: See PDK variable `GLB_RT_LAYER_ADJUSTMENTS` instead**: Reduction in the routing capacity of the edges between the cells in the global routing graph but specific to a metal layer in sky130A. Values ranged from 0 to 1 | | `GLB_RT_UNIDIRECTIONAL` | **Removed**: Allow unidirectional routing. 0 = false, 1 = true
(Default: `1`) | | `GLB_RT_TILES` | **Removed**: The size of the GCELL used by Fastroute during global routing.
(Default: `15`) | -| `GLB_RT_L{1/2/3/4/5/6}_ADJUSTMENT` | **Removed: See PDK variable `GLB_RT_LAYER_ADJUSTMENTS` instead**: Reduction in the routing capacity of the edges between the cells in the global routing graph but specific to a metal layer in sky130A. Values ranged from 0 to 1 | ### RC Extraction @@ -224,32 +239,15 @@ These variables worked initially, but they were too sky130 specific and will be | `LVS_CONNECT_BY_LABEL` | Enables connections by label in LVS by skipping `extract unique` in magic extractions.
Default: `0` | | `YOSYS_REWRITE_VERILOG` | Enables yosys to rewrite the verilog before LVS producing a canonical verilog netlist with verbose wire declarations. This flag will be ignored if `LEC_ENABLE` is 1, and it will be rewritten anyways. 1 = Enabled, 0 = Disabled
(Default: `0` ) | -### Misc +### Flow control |Variable|Description| |-|-| -| `PDK` | Specifies the process design kit (PDK).
(Default: `sky130A` )| -| `STD_CELL_LIBRARY` | Specifies the standard cell library to be used under the specified PDK.
(Default: `sky130_fd_sc_hd` )| -| `STD_CELL_LIBRARY_OPT` | Specifies the standard cell library to be used during resizer optimizations.
(Default: `$STD_CELL_LIBRARY` )| -| `PDK_ROOT` | Specifies the folder path of the PDK. It searches for a `config.tcl` in `$PDK_ROOT/$PDK/libs.tech/openlane/` directory and at least have one standard cell library config defined in `$PDK_ROOT/$PDK/libs.tech/openlane/$STD_CELL_LIBRARY`. | -| `CELL_PAD` | Cell padding; increases the width of cells.
(Default: `4` microns -- 4 sites)| -| `DIODE_PADDING` | Diode cell padding; increases the width of diode cells during placement checks.
(Default: `2` microns -- 2 sites)| -| `MERGED_LEF_UNPADDED` | Points to `merged_unpadded.lef` by default. it contains the technology LEF for the used STD_CELL_LIBRARY merged with the LEF file for all the cells. | -| `MERGED_LEF` | points to `merged.lef`, which is `merged_unpadded.lef` but with cell padding. This is controlled by CELL_PAD. | -| `NO_SYNTH_CELL_LIST` | Specifies the file that contains the don't-use-cell-list to be excluded from the liberty file during synthesis. If it's not defined, this path is searched `$::env(PDK_ROOT)/$::env(PDK)/libs.tech/openlane/$::env(STD_CELL_LIBRARY)/no_synth.cells` and if it's not found, then the original liberty will be used as is. | -| `DRC_EXCLUDE_CELL_LIST` | Specifies the file that contains the don't-use-cell-list to be excluded from the liberty file during synthesis and timing optimizations. If it's not defined, this path is searched `$::env(PDK_ROOT)/$::env(PDK)/libs.tech/openlane/$::env(STD_CELL_LIBRARY)/drc_exclude.cells` and if it's not found, then the original liberty will be used as is. In other words, `DRC_EXCLUDE_CELL_LIST` contain the only excluded cell list in timing optimizations. | -| `EXTRA_LEFS` | Specifies LEF files of pre-hardened macros to be merged in the design currently getting hardened | -| `EXTRA_GDS_FILES` | Specifies GDS files of pre-hardened macros to be merged in the design currently getting hardened | | `TEST_MISMATCHES` | Test for mismatches between the OpenLane tool versions and the current environment. `all` tests all mismatches. `tools` tests all except the PDK. `pdk` only tests the PDK. `none` disables the check.
(Default: `all`) | | `QUIT_ON_MISMATCHES` | Whether to halt the flow execution or not if mismatches are found. (Default: `1`) | - -### Flow control - -|Variable|Description| -|-|-| | `USE_GPIO_PADS` | Decides whether or not to use the gpio pads in routing by merging their LEF file set in `::env(USE_GPIO_ROUTING_LEF)` and blackboxing their verilog modules set in `::env(GPIO_PADS_VERILOG)`. 1=Enabled, 0=Disabled.
(Default: `0`) | | `LEC_ENABLE` | Enables logic verification using yosys, for comparing each netlist at each stage of the flow with the previous netlist and verifying that they are logically equivalent. Warning: this will increase the runtime significantly. 1 = Enabled, 0 = Disabled
(Default: `0`)| -| `RUN_ROUTING_DETAILED` | Enables detailed routing. 1 = Enabled, 0 = Disabled
(Default: `1`)| +| `RUN_DRT` | Enables detailed routing. 1 = Enabled, 0 = Disabled
(Default: `1`)| | `RUN_LVS` | Enables running LVS. 1 = Enabled, 0 = Disabled
(Default: `1`)| | `PRIMARY_SIGNOFF_TOOL` | Determines whether `magic` or `klayout` is the primary signoff tool.
(Default: `magic`) | | `RUN_MAGIC` | Enables running magic and GDSII streaming. 1 = Enabled, 0 = Disabled
(Default: `1`)| diff --git a/configuration/floorplan.tcl b/configuration/floorplan.tcl index 20c80ab31..086ea7e67 100755 --- a/configuration/floorplan.tcl +++ b/configuration/floorplan.tcl @@ -12,6 +12,8 @@ # See the License for the specific language governing permissions and # limitations under the License. +set ::env(DESIGN_IS_CORE) 1 + # Floorplan defaults set ::env(FP_SIZING) relative set ::env(FP_CORE_UTIL) 50 @@ -52,6 +54,4 @@ set ::env(FP_PDN_VERTICAL_HALO) $::env(FP_PDN_HORIZONTAL_HALO) set ::env(FP_TAP_HORIZONTAL_HALO) 10 set ::env(FP_TAP_VERTICAL_HALO) $::env(FP_TAP_HORIZONTAL_HALO) set ::env(FP_PDN_ENABLE_GLOBAL_CONNECTIONS) 1 -set ::env(FP_PDN_ENABLE_MACROS_GRID) 1 - -set ::env(DESIGN_IS_CORE) 1 +set ::env(FP_PDN_ENABLE_MACROS_GRID) 1 \ No newline at end of file diff --git a/configuration/general.tcl b/configuration/general.tcl index 84b8c0d67..5c5259ab8 100755 --- a/configuration/general.tcl +++ b/configuration/general.tcl @@ -54,7 +54,7 @@ set ::env(MAGIC_CONVERT_DRC_TO_RDB) 1 set ::env(KLAYOUT_XOR_GDS) 1 set ::env(KLAYOUT_XOR_XML) 1 -set ::env(RUN_ROUTING_DETAILED) 1 +set ::env(RUN_DRT) 1 set ::env(RUN_SIMPLE_CTS) 0 set ::env(CLOCK_PERIOD) "10.0" set ::env(RUN_KLAYOUT) 1 @@ -67,9 +67,6 @@ set ::env(USE_ARC_ANTENNA_CHECK) 1 set ::env(FILL_INSERTION) 1 set ::env(TAP_DECAP_INSERTION) 1 -set ::env(WIDEN_SITE) 1 -set ::env(WIDEN_SITE_IS_FACTOR) 1 - set ::env(RUN_SPEF_EXTRACTION) 1 set ::env(RUN_CVC) 1 diff --git a/configuration/placement.tcl b/configuration/placement.tcl index 91282e4fa..9c698a1ed 100755 --- a/configuration/placement.tcl +++ b/configuration/placement.tcl @@ -39,3 +39,4 @@ set ::env(PL_MAX_DISPLACEMENT_X) 500 set ::env(PL_MAX_DISPLACEMENT_Y) 100 set ::env(PL_MACRO_HALO) {0 0} set ::env(PL_MACRO_CHANNEL) {0 0} +set ::env(DONT_BUFFER_PORTS) {} \ No newline at end of file diff --git a/designs/manual_macro_placement_test/config.json b/designs/manual_macro_placement_test/config.json index ecb82f571..1d8021093 100644 --- a/designs/manual_macro_placement_test/config.json +++ b/designs/manual_macro_placement_test/config.json @@ -10,7 +10,7 @@ "BASE_SDC_FILE": "dir::base.sdc", "PL_TARGET_DENSITY": 0.35, "PL_BASIC_PLACEMENT": 1, - "CELL_PAD": 0, + "DPL_CELL_PADDING": 0, "CLOCK_PERIOD": 100, "CLOCK_PORT": "clk1 clk2", "CLOCK_TREE_SYNTH": false, diff --git a/designs/spm/config.json b/designs/spm/config.json index 36e0ccf47..68e455b69 100644 --- a/designs/spm/config.json +++ b/designs/spm/config.json @@ -9,30 +9,22 @@ "FP_PDN_HOFFSET": 7, "FP_PIN_ORDER_CFG": "dir::pin_order.cfg", "pdk::sky130A": { + "FP_CORE_UTIL": 45, "scl::sky130_fd_sc_hd": { - "CLOCK_PERIOD": 10, - "SYNTH_MAX_FANOUT": 5, - "FP_CORE_UTIL": 45 + "CLOCK_PERIOD": 10 }, "scl::sky130_fd_sc_hdll": { - "CLOCK_PERIOD": 10, - "SYNTH_MAX_FANOUT": 5, - "FP_CORE_UTIL": 49 + "CLOCK_PERIOD": 10 }, "scl::sky130_fd_sc_hs": { - "CLOCK_PERIOD": 10, - "SYNTH_MAX_FANOUT": 5, - "FP_CORE_UTIL": 49 + "CLOCK_PERIOD": 8 }, "scl::sky130_fd_sc_ls": { "CLOCK_PERIOD": 10, - "SYNTH_MAX_FANOUT": 5, - "FP_CORE_UTIL": 49 + "SYNTH_MAX_FANOUT": 5 }, "scl::sky130_fd_sc_ms": { - "CLOCK_PERIOD": 10, - "SYNTH_MAX_FANOUT": 5, - "FP_CORE_UTIL": 49 + "CLOCK_PERIOD": 10 } } } \ No newline at end of file diff --git a/docs/source/hardening_macros.md b/docs/source/hardening_macros.md index 64fbe4de0..6c005c56a 100644 --- a/docs/source/hardening_macros.md +++ b/docs/source/hardening_macros.md @@ -147,7 +147,7 @@ However, you can enable that by setting `PL_RESIZER_OVERBUFFER` to `1` and then ### Detailed Placement: -The only value to consider here is the `CELL_PAD` which is usually selected for each (PDK,STD_CELL_LIBRARY) and should mostly be left as is. However, typically for the skywater libraries the value should be 4~6. +The only value to consider here is the `DPL_CELL_PADDING` which is usually selected for each (PDK,STD_CELL_LIBRARY) and should mostly be left as is. However, typically for the skywater libraries the value should be 4~6. You can read more about that [here][0]. diff --git a/docs/source/openlane_commands.md b/docs/source/openlane_commands.md index ebe26d89e..f0a00b2bb 100644 --- a/docs/source/openlane_commands.md +++ b/docs/source/openlane_commands.md @@ -20,7 +20,7 @@ Most of the following commands' implementation exists in this [file][0] | `set_netlist ` | | Sets the current netlist used by the flow to `` | | | `[-lec]` | Runs logic verification for the new netlist against the previous netlist.
Optional flag. | | `set_def ` | | Sets the current def file used by the flow to `` | -| `prep_lefs` | | prepares the used lef files by the flow. This process includes merging the techlef and cells lef, generated a merged.lef and a merged_unpadded.lef. Both to be used by different stages of the flow.| +| `prep_lefs` | | prepares the used lef files by the flow. This process includes merging the techlef and cells lef, generating a merged.lef.| | `trim_lib` | | prepares a liberty file (i.e. `LIB_SYNTH`) by trimming the `NO_SYNTH_CELL_LIST` and `DRC_EXCLUDE_CELL_LIST` from another input liberty file (i.e. `$::env(LIB_SYNTH_COMPLETE)`). | | | `[-output ]` | The lib file to output the trimmed liberty into.
Default: `$::env(LIB_SYNTH)`
Optional flag. | | | `[-input ]` | The input liberty file to trim the cells from.
Default: `$::env(LIB_SYNTH_COMPLETE)`
Optional flag. | @@ -52,9 +52,6 @@ Most of the following commands' implementation exists in this [file][0] | | `[-spice_path ]` | Changes the save path for the spice files to ``.
The default is the `` under the `` specified by the `` and the processed `design`
Optional flag.| | | `[-save_path ]` | Changes the save path for the save path for all the types of files to ``.
The default is the `/results/final`.
Optional flag.| | | `-tag ` | **Removed:** Specifies the `` from which the views were generated.| -| `widen_site_width` | | generates two new lef files (merged_wider.lef and merged_unpadded_wider.lef) with a widened site width based on the values of `WIDEN_SITE_IS_FACTOR` and `WIDEN_SITE`, more about those in the [configurations/readme.md][13].| -| `use_widened_lefs` | | Switches to using the lef files with the widened site width in the flow.| -| `use_original_lefs` | | Switches to using the normal lef files in the flow.| | `label_macro_pins ` | | Labels the pins of a given macro def according to the netlist for lvs.| | | `-lef ` | LEF file needed to have a proper view of the netlist AND the input DEF.| | | `-netlist_def ` | DEF view of the design that has the connectivity information.| @@ -240,13 +237,13 @@ Most of the following commands' implementation exists in this [file][8] |---------------|------------------------|-----------------------------------------| | `global_routing` | | Runs global routing on the processed design The resulting file is under `//tmp/routing/` . | | `global_routing_fastroute` | | Runs global routing on the processed design using the openroad app's fastroute. The resulting file is under `//tmp/routing/` . | -| `detailed_routing` | | Runs detailed routing on the processed design using OpenROAD TritonRoute, or DRCU based onthe value of `DETAILED_ROUTER`. The resulting file is under `//results/routing/` . | -| `detailed_routing_tritonroute` | | Runs detailed routing on the processed design using OpenROAD TritonRoute based on the value of `DETAILED_ROUTER`. The resulting file is under `//results/routing/` . | +| `detailed_routing` | | Runs detailed routing on the processed design. The resulting file is under `//results/routing/` . | +| `detailed_routing_tritonroute` | | Runs detailed routing on the processed design using OpenROAD TritonRoute. The resulting file is under `//results/routing/` . | `apply_route_obs`| | Uses `GRT_OBS` to insert obstruction for each macro in order to prevent routing for each specified layer on each macro. Check `GRT_OBS` in the configurations documentation for more details.| | `add_route_obs`| | Uses `GRT_OBS` to call `apply_route_obs`, then calls `apply_route_obs` again to apply obstructions over the whole die area based on the value of `GRT_MAXLAYER` up to the highest available metal layer.| | `run_routing` | | Runs diode insertion based on the strategy, then adds the routing obstructions, followed by `global_routing`, then `ins_fill_cells`, `detailed_routing`, and finally SPEF extraction on the processed design. The resulting file is under `//results/routing/`. It also generates a pre_route netlist using yosys and stores the results under `//results/synthesis`, and it runs yosys logic verification if enabled. | | `global_routing_cugr` | | **Removed: Aliases global_routing_fastroute**: Runs global routing on the processed design using cugr. The resulting file is under `//tmp/routing/` . | -| `detailed_routing_drcu` | | **Removed: Aliases detailed_routing_tritonroute** Runs detailed routing on the processed design using DRCU. The resulting file is under `//results/routing/` . | +| `detailed_routing_drcu` | | **Removed: Aliases detailed_routing_tritonroute**: Runs detailed routing on the processed design using DRCU. The resulting file is under `//results/routing/` . | ## Magic Commands diff --git a/docs/source/pdk_structure.md b/docs/source/pdk_structure.md index c38d0352a..da39d504e 100644 --- a/docs/source/pdk_structure.md +++ b/docs/source/pdk_structure.md @@ -97,8 +97,9 @@ This section defines the necessary variables to configure a standard cell librar | `PL_LIB` | Points to the lib view used in time driven placement. | | `FILL_CELL` | Defines the fill cell. Used in fill insertion. Can use a wild card to define a class of cells. Example `sky130_fd_sc_hd__fill_*` | | `DECAP_CELL` | Defines the decap cell used for fill insertion. Can use a wild card to define a class of cells. Example `sky130_fd_sc_hd__fill_*` | -| `CELL_PAD` | Defines the number of sites to pad the cells lef views with. | -| `CELL_PAD_EXCLUDE` | Defines the cells to exclude from padding. | +| `GPL_CELL_PADDING` | Cell padding value (in sites) for global placement. Using this is not strictly recommended as you can simply use the density control for global placement.
(Example: `0`) | +| `DPL_CELL_PADDING` | Defines the number of sites to pad the cells lef views with during detailed placement . The number will be integer divided by 2 and placed on both sides.
(Example: `4`) | +| `CELL_PAD_EXCLUDE` | Defines the cells to exclude from padding for both detailed placement. | | `CTS_ROOT_BUFFER` | Defines the cell inserted at the root of the clock tree. Used in CTS. | | `ROOT_CLK_BUFFER` | Root clock buffer of the clock tree.
(Example: `sky130_fd_sc_hd__clkbuf_16`) | | `CLK_BUFFER` | Clock buffer used for inner nodes of the clock tree.
(Example: `sky130_fd_sc_hd__clkbuf_4`) | diff --git a/flow.tcl b/flow.tcl index 9aef01a03..75876cddb 100755 --- a/flow.tcl +++ b/flow.tcl @@ -369,14 +369,23 @@ set flags {-interactive -it -drc -lvs -synth_explore -run_hooks} parse_key_args "flow.tcl" argv arg_values $options flags_map $flags -no_consume if {[catch {exec cat $::env(OPENLANE_ROOT)/install/installed_version} ::env(OPENLANE_VERSION)]} { - if {[catch {exec git --git-dir $::env(OPENLANE_ROOT)/.git rev-parse HEAD} ::env(OPENLANE_VERSION)]} { - if {[catch {exec cat /git_version} ::env(OPENLANE_VERSION)]} { - set ::env(OPENLANE_VERSION) "N/A" + if {[catch {exec cat /git_version} ::env(OPENLANE_VERSION)]} { + if {[catch {exec git --git-dir $::env(OPENLANE_ROOT)/.git rev-parse HEAD} ::env(OPENLANE_VERSION)]} { + set ::env(OPENLANE_VERSION) "UNKNOWN" } } } +if {![catch {exec git --git-dir $::env(OPENLANE_ROOT)/.git rev-parse HEAD} ::env(OPENLANE_MOUNTED_SCRIPTS_VERSION)]} { + if { $::env(OPENLANE_VERSION) == $::env(OPENLANE_MOUNTED_SCRIPTS_VERSION)} { + unset ::env(OPENLANE_MOUNTED_SCRIPTS_VERSION) + } +} + puts "OpenLane $::env(OPENLANE_VERSION)" +if { [info exists ::env(OPENLANE_MOUNTED_SCRIPTS_VERSION)] } { + puts "(with mounted scripts from $::env(OPENLANE_MOUNTED_SCRIPTS_VERSION))" +} puts "All rights reserved. (c) 2020-2022 Efabless Corporation and contributors." puts "Available under the Apache License, version 2.0. See the LICENSE file for more details." puts "" diff --git a/regression_results/benchmark_results/SW_HD.csv b/regression_results/benchmark_results/SW_HD.csv index 5f9800054..3531dab4d 100644 --- a/regression_results/benchmark_results/SW_HD.csv +++ b/regression_results/benchmark_results/SW_HD.csv @@ -1,45 +1,45 @@ -,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GRT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY -0,inverter,inverter,config_SW_HD_Benchmark,flow_completed,0h1m39s,0h1m19s,1089.3246187363834,0.001836,544.6623093681917,2,383.95,1,0,0,0,0,0,0,0,0,0,0,-1,74,10,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,-1,0.0,0.74,1.39,0.0,0.0,0.0,2,2,2,2,0,0,0,1,0,0,0,0,0,0,0,0,1,1,1,24,7,0,31,100.0,10.0,10.0,AREA 0,5,50,1,153.6,153.18,0.75,0.0,sky130_fd_sc_hd,4,3 -1,manual_macro_placement_test,manual_macro_placement_test,config_SW_HD_Benchmark,flow_completed,0h2m31s,0h1m47s,56.8789388665165,0.100464,19.907628603280774,0,418.32,2,0,0,0,0,0,0,0,10,0,-1,-1,21631,544,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,-1,0.0,4.4,4.74,2.55,4.21,2.35,10,72,10,72,0,0,0,2,0,0,0,0,0,0,0,0,-1,-1,-1,220,673,0,893,10.0,100.0,100.0,AREA 0,5,35,1,30.0,153.18,0.35,0.0,sky130_fd_sc_hd,0,0 -2,digital_pll_sky130_fd_sc_hd,digital_pll,config_SW_HD_Benchmark,flow_completed,0h3m5s,0h2m41s,71071.06244777354,0.009476,34824.82059940903,51,436.93,330,0,0,0,0,0,0,0,1,0,0,-1,10237,2522,-4.49,-4.49,0.0,0.0,0.0,-8.49,-8.49,0.0,0.0,0.0,5855971,0.0,24.87,23.35,0.56,0.0,0.0,310,345,134,169,0,0,0,330,5,0,16,11,323,19,12,20,34,76,22,58,91,1,150,63.291139240506325,15.8,15.8,AREA 0,6,49,1,153.6,153.18,0.54,0.0,sky130_fd_sc_hd,4,3 -3,s44,lut_s44,config_SW_HD_Benchmark,flow_completed,0h5m28s,0h5m1s,59600.6144393241,0.03255,2980.030721966205,5,414.86,97,0,0,0,0,0,0,0,1,0,0,-1,5581,949,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,4595182,0.0,3.37,4.07,0.0,0.0,0.0,94,114,38,58,0,0,0,97,0,0,0,0,0,0,0,32,41,32,4,120,372,0,492,33.333333333333336,30.0,30.0,AREA 0,5,5,1,153.6,153.18,0.5,0.0,sky130_fd_sc_hd,4,3 -4,spm,spm,config_SW_HD_Benchmark,flow_completed,0h5m35s,0h5m8s,59656.619084949314,0.009476,29231.743351625162,51,422.94,277,0,0,0,0,0,0,0,0,0,0,-1,6698,2084,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,4345922,0.0,16.51,17.85,0.0,0.0,0.0,281,312,39,70,0,0,0,277,32,0,31,31,1,63,31,0,97,128,4,60,94,0,154,100.0,10.0,10.0,AREA 0,5,49,1,153.6,153.18,0.54,0.0,sky130_fd_sc_hd,4,3 -5,usb,usb,config_SW_HD_Benchmark,flow_completed,0h7m4s,0h6m13s,70841.55161078238,0.03042,28336.620644312956,41,479.9,862,0,0,0,0,0,0,0,0,0,0,-1,26194,6777,-0.13,-0.13,0.0,0.0,0.0,-0.13,-0.13,0.0,0.0,0.0,16935276,0.0,19.47,20.14,0.0,0.0,0.0,861,885,200,224,0,0,0,862,22,8,43,40,206,49,6,176,242,251,12,116,330,0,446,79.6812749003984,12.55,12.55,AREA 0,6,40,1,153.6,153.18,0.45,0.0,sky130_fd_sc_hd,4,3 -6,cic_decimator,cic_decimator,config_SW_HD_Benchmark,flow_completed,0h7m39s,0h6m49s,85749.2430840695,0.021432,38587.15938783128,45,482.44,827,0,0,0,0,0,0,0,0,0,0,-1,24930,6484,-0.09,-0.09,0.0,0.0,0.0,-0.09,-0.09,0.0,0.0,0.0,16717654,0.0,28.08,24.93,0.0,0.0,0.0,816,849,119,152,0,0,0,827,15,0,21,51,90,92,51,220,131,112,16,96,225,0,321,100.0,10.0,10.0,AREA 0,6,45,1,15.0,15.0,0.5,0.0,sky130_fd_sc_hd,4,3 -7,zipdiv,zipdiv,config_SW_HD_Benchmark,flow_completed,0h7m52s,0h6m46s,84946.23655913977,0.03255,33978.49462365591,42,507.94,1106,0,0,0,0,0,0,0,1,0,0,-1,40784,9134,-1.86,-1.86,0.0,0.0,0.0,-8.06,-8.06,0.0,0.0,0.0,30272301,0.0,33.42,32.73,1.87,0.0,0.0,1078,1174,118,214,0,0,0,1106,46,0,3,43,165,146,14,241,273,273,30,120,372,4,496,52.38344683080147,19.09,19.09,AREA 0,6,40,1,153.6,153.18,0.45,0.15,sky130_fd_sc_hd,4,3 -8,usb_cdc_core,usb_cdc_core,config_SW_HD_Benchmark,flow_completed,0h10m32s,0h8m28s,89258.41101210142,0.051959000000000005,40166.28495544564,45,561.83,2087,0,0,0,0,0,0,0,2,0,0,-1,68654,15752,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,45658946,0.0,29.43,29.36,0.57,0.0,0.0,2083,2114,314,345,0,0,0,2087,99,0,110,89,676,130,41,252,365,683,20,154,630,1,785,64.1025641025641,15.6,15.6,AREA 0,6,45,1,153.6,153.18,0.5,0.0,sky130_fd_sc_hd,4,3 -9,xtea,xtea,config_SW_HD_Benchmark,flow_completed,0h12m43s,0h10m10s,96573.42336135224,0.058055999999999996,43458.04051260851,47,586.82,2523,0,0,0,0,0,0,0,19,0,0,-1,125690,22256,-3.65,-3.65,-0.54,-2.17,-0.9,-26.13,-26.13,-0.93,-0.93,-2.75,90862040,0.0,52.18,54.05,6.88,7.78,0.0,2467,2718,123,374,0,0,0,2523,16,0,54,226,390,436,121,174,468,615,28,164,712,29,905,37.13330857779428,26.93,26.03,AREA 0,6,45,1,153.6,153.18,0.5,0.15,sky130_fd_sc_hd,4,3 -10,APU,APU,config_SW_HD_Benchmark,flow_completed,0h13m36s,0h10m2s,84799.788962288,0.103976,29679.9261368008,37,568.47,3086,0,0,0,0,0,0,0,3,0,0,-1,123845,26118,-3.15,-3.15,0.0,-0.79,0.0,-47.86,-47.86,0.0,0.0,0.0,82939701,0.0,25.92,25.87,0.0,0.0,0.0,3059,3118,380,439,0,0,0,3086,86,28,103,170,344,251,52,709,683,867,29,224,1309,14,1547,58.8235294117647,17.0,17.0,AREA 0,6,35,1,153.6,153.18,0.4,0.0,sky130_fd_sc_hd,4,3 -11,wbqspiflash,wbqspiflash,config_SW_HD_Benchmark,flow_completed,0h15m16s,0h12m41s,90644.01622718052,0.070992,36257.60649087221,42,559.17,2574,0,0,0,0,0,0,0,5,0,0,-1,115295,21643,-5.51,-5.51,-1.99,-3.71,-3.19,-10.92,-10.92,-1.99,-1.99,-3.61,83439425,0.0,36.26,35.26,0.95,1.49,0.0,2547,2635,264,352,0,0,0,2574,55,1,147,155,796,79,6,376,656,885,19,184,846,14,1044,45.35147392290249,22.05,18.86,AREA 0,6,40,1,153.6,153.18,0.45,0.0,sky130_fd_sc_hd,4,3 -12,synth_ram,synth_ram,config_SW_HD_Benchmark,flow_completed,0h26m7s,0h16m48s,67575.69348544603,0.214344,30409.062068450712,45,665.52,6518,0,0,0,0,0,0,0,21,0,0,-1,333483,60794,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,219109921,0.0,30.33,35.19,1.96,0.01,0.0,6492,6578,2054,2140,0,0,0,6518,8,0,2,1,81,0,0,2080,2155,2080,8,328,2739,45,3112,20.0,50.0,50.0,AREA 0,5,45,1,153.6,153.18,0.5,0.0,sky130_fd_sc_hd,4,3 -13,ocs_blitter,ocs_blitter,config_SW_HD_Benchmark,flow_completed,0h26m14s,0h18m19s,87644.91422852509,0.231812,30675.71997998378,37,654.26,7111,0,0,0,0,0,0,0,25,0,0,-1,351244,63164,-33.5,-33.5,-12.68,-14.69,-13.44,-4571.92,-4571.92,-409.21,-409.21,-444.11,240666100,0.0,31.26,33.95,0.99,0.83,0.0,7059,7203,439,583,0,0,0,7111,164,0,189,595,1116,745,127,471,962,1734,29,342,3025,92,3459,31.605562579013906,31.64,18.2,AREA 0,6,35,1,153.6,153.18,0.4,0.0,sky130_fd_sc_hd,4,3 -14,md5,md5,config_SW_HD_Benchmark,flow_completed,0h30m2s,0h20m45s,88105.7268722467,0.201122,30837.00440528634,37,647.73,6202,0,0,0,0,0,0,0,18,0,0,-1,348946,55166,-6.19,-6.19,0.0,0.0,0.0,-117.94,-117.94,0.0,0.0,0.0,261756077,0.0,36.14,36.16,3.27,2.47,0.0,6080,6334,1175,1429,0,0,0,6202,80,0,137,240,1477,396,213,650,1208,1399,43,318,2576,127,3021,26.990553306342782,37.05,37.05,AREA 0,6,35,1,153.6,153.18,0.4,0.0,sky130_fd_sc_hd,4,3 -15,PPU,PPU,config_SW_HD_Benchmark,flow_completed,0h38m59s,0h23m13s,70032.01548656094,0.67155,14006.403097312188,20,748.29,9406,0,0,0,0,0,0,0,55,0,0,-1,658021,89403,-9.19,-9.19,-1.97,-3.69,-2.41,-1332.77,-1332.77,-16.95,-16.95,-21.25,429708916,0.0,22.15,21.6,0.21,0.03,0.0,9347,9430,2898,2981,0,0,0,9406,53,8,55,72,460,113,21,2942,3193,3308,16,590,9056,216,9862,48.99559039686428,20.41,18.0,AREA 0,8,20,1,153.6,153.18,0.25,0.05,sky130_fd_sc_hd,4,3 -16,des,des,config_SW_HD_Benchmark,flow_completed,0h44m17s,0h27m38s,91791.09304790077,0.47262600000000005,32126.882566765264,37,812.27,15184,0,0,0,0,0,0,0,84,0,0,-1,694806,127332,0.0,0.0,0.0,-0.68,0.0,0.0,0.0,0.0,0.0,0.0,548942493,0.0,35.97,35.21,2.18,1.79,0.0,15125,15306,1957,2138,0,0,0,15184,432,1984,48,448,12688,1792,256,768,1792,512,12,492,6322,427,7241,50.0,20.0,20.0,AREA 0,6,35,1,153.6,153.18,0.4,0.1,sky130_fd_sc_hd,4,3 -17,genericfir,genericfir,config_SW_HD_Benchmark,flow_completed,0h44m54s,0h35m19s,68734.43047267525,0.306332,30930.49371270386,46,711.79,9475,0,0,0,0,0,0,0,8,0,0,-1,356182,84654,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,216988395,0.0,28.8,28.52,0.1,0.12,0.0,12499,12551,6835,6887,0,0,0,9475,0,0,2001,3390,4008,3000,750,7560,3782,3780,6,394,3980,16,4390,100.0,10.0,10.0,AREA 0,7,45,1,153.6,153.18,0.5,0.1,sky130_fd_sc_hd,4,3 -18,BM64,BM64,config_SW_HD_Benchmark,flow_completed,0h45m57s,0h27m26s,93419.19912137334,0.5119100000000001,16815.4558418472,20,747.96,8608,0,0,0,0,0,0,0,143,0,0,-1,946689,89065,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,841797806,0.0,35.34,41.88,2.61,0.6,0.0,8102,9123,785,1806,0,0,0,8608,26,0,12,341,2174,989,307,2573,1801,1293,37,514,6861,519,7894,50.0,20.0,20.0,AREA 0,6,18,1,153.6,153.18,0.23,0.0,sky130_fd_sc_hd,4,3 -19,sha3,sha3,config_SW_HD_Benchmark,flow_completed,0h51m56s,0h34m22s,86988.79840061154,0.46646400000000005,30446.07944021404,34,822.65,14202,0,0,0,0,0,0,0,63,0,0,-1,786047,127999,-3.63,-3.63,-1.77,-3.82,-1.47,-2024.08,-2024.08,-287.4,-287.4,-117.36,542133676,0.0,36.86,41.14,2.54,2.66,0.0,14177,14246,3031,3100,0,0,0,14202,911,0,893,238,878,848,435,3088,3162,3709,21,490,6173,91,6754,70.5218617771509,14.180000000000001,12.71,AREA 0,6,35,1,153.6,153.18,0.4,0.1,sky130_fd_sc_hd,4,3 -20,y_huff,y_huff,config_SW_HD_Benchmark,flow_completed,0h52m2s,0h38m4s,82424.00923432091,0.324875,32969.603693728364,43,808.24,10711,0,0,0,0,0,0,0,196,0,0,-1,898142,121091,-2.43,-2.43,-4.65,-7.36,-2.86,-2948.28,-2948.28,-1558.71,-1558.71,-622.06,620568195,0.0,55.05,61.41,20.6,27.05,0.0,10743,11418,2383,3058,0,0,0,10711,237,0,146,384,1310,575,87,4679,4830,3153,18,406,4303,469,5178,70.37297677691767,14.209999999999999,11.35,AREA 0,6,40,1,153.6,153.18,0.45,0.15,sky130_fd_sc_hd,4,3 -21,picorv32a,picorv32a,config_SW_HD_Benchmark,flow_completed,0h55m21s,0h36m53s,95973.57436403637,0.44286000000000003,33590.75102741273,36,826.8,14876,0,0,0,0,0,0,0,154,0,0,-1,966049,138230,-24.89,-24.89,-13.65,-16.57,-14.94,-759.46,-759.46,-332.55,-332.55,-372.22,733911173,0.0,45.06,44.88,11.76,7.11,0.0,14596,14978,1565,1947,0,0,0,14876,1159,227,896,560,2391,2462,615,1664,2132,2900,72,476,5878,466,6820,25.207965717166623,39.67,24.73,AREA 0,6,35,1,153.6,153.18,0.4,0.1,sky130_fd_sc_hd,4,3 -22,usbf_device,usbf_device,config_SW_HD_Benchmark,flow_completed,0h59m58s,0h42m58s,95940.40000433139,0.430962,43173.18000194913,45,875.01,18606,0,0,0,0,0,0,0,45,0,0,-1,815607,151815,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,561580637,0.0,41.41,37.67,4.41,1.56,0.0,18581,18727,3775,3921,0,0,0,18606,675,0,362,157,4505,280,61,3692,4004,4615,13,470,5686,140,6296,68.4931506849315,14.6,14.6,AREA 0,6,45,1,153.6,153.18,0.5,0.0,sky130_fd_sc_hd,4,3 -23,chacha,chacha,config_SW_HD_Benchmark,flow_completed,1h3m47s,0h44m58s,97627.13859099403,0.67977,24406.784647748507,26,892.07,16591,0,0,0,0,0,0,0,245,0,0,-1,1425054,158788,-20.47,-20.47,-3.25,-7.36,-4.71,-7439.91,-7439.91,-355.66,-355.66,-557.29,1111475850,0.0,40.0,46.02,8.55,8.88,0.0,16566,16635,2389,2458,0,0,0,16591,605,5,604,825,810,2011,971,3036,3035,3673,60,594,9117,629,10340,32.55208333333333,30.720000000000002,26.01,AREA 0,6,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_hd,4,3 -24,ldpcenc,ldpcenc,config_SW_HD_Benchmark,flow_completed,1h8m50s,0h47m57s,86617.65355885256,0.814476,21654.41338971314,26,945.47,17637,0,0,0,0,0,0,0,286,0,0,-1,1570885,181212,-23.66,-23.66,-2.82,-5.72,0.0,-15964.85,-15964.85,-620.31,-620.31,0.0,1297612011,0.0,36.21,40.09,5.17,5.26,0.0,17618,17673,1527,1582,0,0,0,17637,103,94,990,79,2098,1958,84,1265,2487,2565,13,650,10952,1066,12668,77.51937984496124,12.9,12.9,AREA 0,6,25,1,153.6,153.18,0.3,0.0,sky130_fd_sc_hd,4,3 -25,aes_cipher,aes_cipher,config_SW_HD_Benchmark,flow_completed,1h9m6s,0h55m27s,116509.43207745449,0.500526,29127.358019363623,28,858.09,14579,0,0,0,0,0,0,0,109,0,0,-1,1097710,145973,-2.12,-2.12,-0.1,-1.2,-0.73,-122.9,-122.9,-0.23,-0.23,-12.1,921209003,0.0,40.9,44.72,6.55,6.51,0.0,14459,14840,411,792,0,0,0,14579,461,386,73,357,18246,848,301,148,659,660,18,508,6654,935,8097,92.42144177449168,10.82,10.09,AREA 0,5,25,1,153.6,153.18,0.3,0.0,sky130_fd_sc_hd,4,3 -26,blabla,blabla,config_SW_HD_Benchmark,flow_completed,1h9m47s,0h34m31s,104444.474594142,1.1256959999999998,11488.89220535562,14,894.43,12933,0,0,0,0,0,0,0,391,0,0,-1,2346631,139770,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,2071365234,0.0,40.54,50.74,6.44,5.43,0.0,12432,13839,1105,2512,0,0,0,12933,654,5,575,813,507,2076,906,2190,2894,2059,58,768,15245,1546,17559,15.384615384615385,65.0,65.0,AREA 0,5,11,1,153.6,153.18,0.16,0.1,sky130_fd_sc_hd,4,3 -27,aes,aes,config_SW_HD_Benchmark,flow_completed,1h16m8s,0h52m36s,91164.13861141942,1.13526,18232.827722283884,21,1014.56,20699,0,0,0,0,0,0,0,153,0,0,-1,1575813,193809,-2.0,-2.0,0.0,0.0,0.0,-5454.14,-5454.14,0.0,0.0,0.0,1196363692,0.0,28.81,29.07,1.13,0.88,0.0,20674,20743,3136,3205,0,0,0,20699,219,0,88,346,8956,2179,691,3103,4034,4222,20,770,15284,585,16639,38.610038610038615,25.9,25.9,AREA 0,8,20,1,153.6,153.18,0.25,0.0,sky130_fd_sc_hd,4,3 -28,salsa20,salsa20,config_SW_HD_Benchmark,flow_completed,1h22m21s,0h58m49s,90581.50183150184,1.092,18116.30036630037,22,1010.73,19783,0,0,0,0,0,0,0,402,0,0,-1,1971245,201286,-8.14,-8.14,0.0,0.0,0.0,-747.25,-747.25,0.0,0.0,0.0,1623499578,0.0,32.38,38.39,4.74,2.96,0.0,19758,19827,4073,4142,0,0,0,19783,518,5,615,755,1608,2268,769,7341,5004,4742,61,756,14818,835,16409,27.225701061802344,36.73,36.73,AREA 0,6,20,1,153.6,153.18,0.25,0.0,sky130_fd_sc_hd,4,3 -29,aes_core,aes_core,config_SW_HD_Benchmark,flow_completed,1h24m51s,1h3m9s,96082.08512918261,0.843612,24020.521282295653,27,1003.32,20264,0,0,0,0,0,0,0,176,0,0,-1,1794903,205745,-1.3,-1.3,0.0,0.0,0.0,-3079.71,-3079.71,0.0,0.0,0.0,1445312835,0.0,41.14,42.75,7.62,1.83,0.0,20145,20654,2490,2999,0,0,0,20264,303,0,175,343,8961,2035,834,2717,3710,3696,19,662,11320,1093,13075,46.992481203007515,21.28,21.28,AREA 0,6,25,1,153.6,153.18,0.3,0.0,sky130_fd_sc_hd,4,3 -30,sha512,sha512,config_SW_HD_Benchmark,flow_completed,1h26m10s,0h58m28s,88922.06994207404,1.1278190000000001,22230.51748551851,26,1118.28,25072,0,0,0,0,0,0,0,287,0,0,-1,2149091,252330,-25.33,-25.33,0.0,0.0,0.0,-25652.12,-25652.12,0.0,0.0,0.0,1511892178,0.0,33.98,47.9,3.46,6.32,0.0,25047,25116,5703,5772,0,0,0,25072,624,0,429,1853,4118,1682,1301,3667,6372,7289,44,768,15245,596,16609,25.464731347084285,39.27,39.27,AREA 0,10,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_hd,4,3 -31,aes128,aes128,config_SW_HD_Benchmark,flow_completed,1h44m9s,1h0m54s,97350.40931495882,1.84821,24337.602328739704,25,1404.88,44981,0,0,0,0,0,0,0,268,0,0,-1,2415004,362906,-3.98,-3.98,-4.33,-5.8,-4.73,-3912.1,-3912.1,-4142.77,-4142.77,-4395.04,2047468727,0.0,30.26,29.48,5.22,0.04,0.0,44857,45238,10452,10833,0,0,0,44981,0,5568,65,1223,1198,17800,6545,800,6496,6736,32,986,25241,2934,29161,61.88118811881188,16.16,11.43,AREA 0,7,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_hd,4,3 -32,des3,des3,config_SW_HD_Benchmark,flow_completed,2h3m7s,1h8m25s,88665.06562723612,2.7307259999999998,17733.013125447225,21,1728.34,48424,0,0,0,0,0,0,0,370,0,0,-1,2576662,408579,-15.64,-15.64,-12.75,-15.41,-13.02,-23792.2,-23792.2,-11662.8,-11662.8,-11862.81,2002372144,0.0,20.73,23.51,1.64,1.38,0.0,48367,48658,8959,9250,0,0,0,48424,1344,8808,144,1344,38112,5376,768,2416,5376,1536,12,1202,37382,2245,40829,48.5201358563804,20.61,7.59,AREA 0,7,20,1,153.6,153.18,0.25,0.1,sky130_fd_sc_hd,4,3 -33,aes192,aes192,config_SW_HD_Benchmark,flow_completed,2h3m55s,1h5m39s,92108.95856966764,2.7274220000000002,18421.79171393353,20,1682.87,50244,0,0,0,0,0,0,0,392,0,0,-1,3127590,405919,-3.51,-3.51,-3.83,-5.25,-4.18,-4114.38,-4114.38,-3841.14,-3841.14,-4224.96,2703601053,0.0,25.52,28.07,2.69,0.3,0.0,50120,50565,13036,13481,0,0,0,50244,14,7968,55,1831,1158,19939,7390,684,7660,6689,36,1202,37382,3206,41790,60.42296072507554,16.549999999999997,12.37,AREA 0,9,20,1,153.6,153.18,0.25,0.1,sky130_fd_sc_hd,4,3 -34,aes256,aes256,config_SW_HD_Benchmark,flow_completed,2h20m29s,1h15m7s,91778.95687801404,2.35773,27533.68706340421,30,1752.91,64917,0,0,0,0,0,0,0,466,0,0,-1,3664353,516992,0.0,0.0,-0.31,-2.01,-0.82,0.0,0.0,-14.34,-14.34,-53.88,3112794441,0.0,28.97,40.42,1.06,2.26,0.0,64793,65302,17643,18152,0,0,0,64917,0,11072,77,1733,1656,24387,9321,1104,9232,9031,32,1116,32196,3776,37088,63.21112515802781,15.82,15.0,AREA 0,9,30,1,153.6,153.18,0.35,0.05,sky130_fd_sc_hd,4,3 -35,point_add,point_add,config_SW_HD_Benchmark,flow_completed,2h26m30s,1h29m2s,105428.0961801557,2.03202,26357.024045038925,26,1685.63,53558,0,0,0,0,0,0,0,517,0,0,-1,4212562,517367,-32.02,-32.02,-5.19,-7.84,-7.02,-65089.45,-65089.45,-1312.35,-1312.35,-4762.78,3068587526,0.0,39.79,43.97,15.63,20.14,0.0,53180,54338,6131,7289,0,0,0,53558,857,1149,640,3041,18519,579,3,6546,10796,12400,18,1036,27816,6552,35404,58.75440658049354,17.02,10.0,AREA 0,5,25,1,153.6,153.18,0.3,0.15,sky130_fd_sc_hd,4,3 -36,point_scalar_mult,point_scalar_mult,config_SW_HD_Benchmark,flow_completed,2h29m51s,1h28m19s,103072.6351022115,2.257476,25768.158775552874,26,1728.67,58171,0,0,0,0,0,0,0,552,0,0,-1,4078523,522357,-32.02,-32.02,-6.11,-8.8,-5.21,-68945.45,-68945.45,-5481.93,-5481.93,-4761.83,3302617755,0.0,36.81,40.73,5.52,1.21,0.0,57791,58713,7456,8378,0,0,0,58171,253,1149,788,3213,18054,582,0,8651,12661,13726,17,1092,30958,5058,37108,65.74621959237344,15.21,10.0,AREA 0,5,25,1,153.6,153.18,0.3,0.05,sky130_fd_sc_hd,4,3 -37,jpeg_encoder,jpeg_encoder,config_SW_HD_Benchmark,flow_completed,2h51m25s,1h46m21s,102853.31262482454,2.8510020000000003,20570.662524964908,21,1828.09,58647,0,0,0,0,0,0,0,915,0,0,-1,4589927,543242,-16.95,-16.95,-0.75,-4.77,-3.16,-23777.33,-23777.33,-8.95,-8.95,-340.21,3786392644,0.0,30.01,32.92,5.35,3.59,0.0,58632,58668,4381,4417,0,0,0,58647,3839,1,2296,5188,7634,15175,5614,4439,6723,6061,39,1230,39175,3056,43461,52.79831045406548,18.939999999999998,15.78,AREA 0,6,20,1,153.6,153.18,0.25,0.0,sky130_fd_sc_hd,4,3 -38,y_dct,y_dct,config_SW_HD_Benchmark,flow_completed,3h16m45s,1h28m59s,89170.44352686108,4.405776,17834.088705372218,23,2746.59,78573,0,0,0,0,0,0,0,367,0,0,-1,5639856,573185,-0.13,-0.13,-0.63,-4.19,-2.35,-0.3,-0.3,-2.35,-2.35,-86.44,5002767725,0.0,25.92,28.6,1.83,0.48,0.0,101044,101691,4703,5350,0,0,0,78573,3238,72,1227,3318,3941,3531,161,10172,31779,27586,21,1530,60589,3271,65390,43.72540445999125,22.87,20.52,AREA 0,5,20,1,153.6,153.18,0.25,0.0,sky130_fd_sc_hd,4,3 -39,151,riscv_top,config_SW_HD_Benchmark,flow_completed,3h22m59s,1h44m32s,70475.45031292013,4.14323,17618.862578230033,26,2672.64,72999,0,0,0,0,0,0,0,728,0,0,-1,5563267,644915,-105.58,-105.58,0.0,-1.38,0.0,-1543243.25,-1543243.25,0.0,0.0,0.0,3984826472,0.0,26.06,29.51,0.57,2.42,0.0,72802,73137,23324,23659,0,0,0,72999,109,2216,286,1088,3839,426,120,20245,24812,25565,21,1484,56912,2142,60538,20.0,50.0,50.0,DELAY 1,5,25,1,153.6,153.18,0.3,0.0,sky130_fd_sc_hd,4,3 -40,ldpc_decoder_802_3an,ldpc_decoder_802_3an,config_SW_HD_Benchmark,flow_failed,5h59m10s,-1,-902110000000.0,-1e-06,-90211000000.0,17,-1.0,90211,-1,-1,-1,-1,-1,-1,-1,-1,0,-1,-1,-1,-1,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,27588874469,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,88168,92262,2054,6148,0,0,0,90211,0,0,32,0,6519,19649,4544,2048,4098,6146,26,2106,114987,0,117093,12.987012987012987,77.0,77.0,DELAY 0,6,10,1,153.6,153.18,0.15,0.0,sky130_fd_sc_hd,4,3 -41,sound,sound,config_SW_HD_Benchmark,flow_completed,9h30m5s,2h45m45s,95249.57190255319,10.66346,19049.91438051064,20,6296.64,203138,0,0,0,0,0,0,0,803,0,0,-1,10904125,1757298,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,7986888128,0.0,20.88,21.88,0.47,0.07,0.0,203103,203220,203103,203220,0,0,0,203138,11691,1896,10906,21307,46996,25037,13741,15776,1102,1650,29,2388,147698,2508,152594,7.308338814587444,136.83,136.83,AREA 0,9,20,1,153.6,153.18,0.25,0.0,sky130_fd_sc_hd,4,3 -42,gcd,gcd,UNKNOWN,flow completed,0h1m51s0ms,0h1m28s0ms,-2.0,0.0784251948,-1,5.11,732.7,-1,0,0,0,0,0,0,0,1,0,0,-1,17778,2526,0.0,0.0,-1,0.0,0.0,0.0,0.0,-1,0.0,0.0,14671323.0,0.0,6.1,7.5,0.74,0.43,0.0,347,1106,140,899,0,0,0,293,6,3,2,6,41,25,6,32,70,52,12,190,970,0,1160,100.0,10.0,10,DELAY 4,5,50,1,27.14,27.2,0.55,0.3,sky130_fd_sc_hd,4,3 -43,caravel_upw,user_project_wrapper,UNKNOWN,flow completed,0h5m16s0ms,0h2m8s0ms,-2.0,-1,-1,-1,476.77,1,0,0,0,0,0,0,0,0,0,-1,-1,1384300,1975,0.0,-1,0.0,0.0,-1,0.0,-1,0.0,0.0,-1,-1,0.0,1.93,6.55,0.45,0.34,-1,27,645,27,645,0,0,0,1,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,90.9090909090909,11,10,AREA 0,5,50,1,180,180,0.55,0.3,sky130_fd_sc_hd,4,0 +,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GRT_ADJUSTMENT,STD_CELL_LIBRARY,DIODE_INSERTION_STRATEGY +0,inverter,inverter,config_SW_HD_Benchmark,flow_completed,0h1m39s,0h1m19s,1089.32461873638,0.001836,544.662309368192,2,383.95,1,0,0,0,0,0,0,0,0,0,0,-1,74,10,0,0,0,0,0,0,0,0,0,0,-1,0,0.74,1.39,0,0,0,2,2,2,2,0,0,0,1,0,0,0,0,0,0,0,0,1,1,1,24,7,0,31,100,10,10,AREA 0,5,50,1,153.6,153.18,0.75,0,sky130_fd_sc_hd,3 +1,manual_macro_placement_test,manual_macro_placement_test,config_SW_HD_Benchmark,flow_completed,0h2m31s,0h1m47s,56.8789388665165,0.100464,19.9076286032808,0,418.32,2,0,0,0,0,0,0,0,10,0,-1,-1,21631,544,0,0,0,0,0,0,0,0,0,0,-1,0,4.4,4.74,2.55,4.21,2.35,10,72,10,72,0,0,0,2,0,0,0,0,0,0,0,0,-1,-1,-1,220,673,0,893,10,100,100,AREA 0,5,35,1,30,153.18,0.35,0,sky130_fd_sc_hd,0 +2,digital_pll_sky130_fd_sc_hd,digital_pll,config_SW_HD_Benchmark,flow_completed,0h3m5s,0h2m41s,71071.0624477735,0.009476,34824.820599409,51,436.93,330,0,0,0,0,0,0,0,1,0,0,-1,10237,2522,-4.49,-4.49,0,0,0,-8.49,-8.49,0,0,0,5855971,0,24.87,23.35,0.56,0,0,310,345,134,169,0,0,0,330,5,0,16,11,323,19,12,20,34,76,22,58,91,1,150,63.2911392405063,15.8,15.8,AREA 0,6,49,1,153.6,153.18,0.54,0,sky130_fd_sc_hd,3 +3,s44,lut_s44,config_SW_HD_Benchmark,flow_completed,0h5m28s,0h5m1s,59600.6144393241,0.03255,2980.0307219662,5,414.86,97,0,0,0,0,0,0,0,1,0,0,-1,5581,949,0,0,0,0,0,0,0,0,0,0,4595182,0,3.37,4.07,0,0,0,94,114,38,58,0,0,0,97,0,0,0,0,0,0,0,32,41,32,4,120,372,0,492,33.3333333333333,30,30,AREA 0,5,5,1,153.6,153.18,0.5,0,sky130_fd_sc_hd,3 +4,spm,spm,config_SW_HD_Benchmark,flow_completed,0h5m35s,0h5m8s,59656.6190849493,0.009476,29231.7433516252,51,422.94,277,0,0,0,0,0,0,0,0,0,0,-1,6698,2084,0,0,0,0,0,0,0,0,0,0,4345922,0,16.51,17.85,0,0,0,281,312,39,70,0,0,0,277,32,0,31,31,1,63,31,0,97,128,4,60,94,0,154,100,10,10,AREA 0,5,49,1,153.6,153.18,0.54,0,sky130_fd_sc_hd,3 +5,usb,usb,config_SW_HD_Benchmark,flow_completed,0h7m4s,0h6m13s,70841.5516107824,0.03042,28336.620644313,41,479.9,862,0,0,0,0,0,0,0,0,0,0,-1,26194,6777,-0.13,-0.13,0,0,0,-0.13,-0.13,0,0,0,16935276,0,19.47,20.14,0,0,0,861,885,200,224,0,0,0,862,22,8,43,40,206,49,6,176,242,251,12,116,330,0,446,79.6812749003984,12.55,12.55,AREA 0,6,40,1,153.6,153.18,0.45,0,sky130_fd_sc_hd,3 +6,cic_decimator,cic_decimator,config_SW_HD_Benchmark,flow_completed,0h7m39s,0h6m49s,85749.2430840695,0.021432,38587.1593878313,45,482.44,827,0,0,0,0,0,0,0,0,0,0,-1,24930,6484,-0.09,-0.09,0,0,0,-0.09,-0.09,0,0,0,16717654,0,28.08,24.93,0,0,0,816,849,119,152,0,0,0,827,15,0,21,51,90,92,51,220,131,112,16,96,225,0,321,100,10,10,AREA 0,6,45,1,15,15,0.5,0,sky130_fd_sc_hd,3 +7,zipdiv,zipdiv,config_SW_HD_Benchmark,flow_completed,0h7m52s,0h6m46s,84946.2365591398,0.03255,33978.4946236559,42,507.94,1106,0,0,0,0,0,0,0,1,0,0,-1,40784,9134,-1.86,-1.86,0,0,0,-8.06,-8.06,0,0,0,30272301,0,33.42,32.73,1.87,0,0,1078,1174,118,214,0,0,0,1106,46,0,3,43,165,146,14,241,273,273,30,120,372,4,496,52.3834468308015,19.09,19.09,AREA 0,6,40,1,153.6,153.18,0.45,0.15,sky130_fd_sc_hd,3 +8,usb_cdc_core,usb_cdc_core,config_SW_HD_Benchmark,flow_completed,0h10m32s,0h8m28s,89258.4110121014,0.051959,40166.2849554456,45,561.83,2087,0,0,0,0,0,0,0,2,0,0,-1,68654,15752,0,0,0,0,0,0,0,0,0,0,45658946,0,29.43,29.36,0.57,0,0,2083,2114,314,345,0,0,0,2087,99,0,110,89,676,130,41,252,365,683,20,154,630,1,785,64.1025641025641,15.6,15.6,AREA 0,6,45,1,153.6,153.18,0.5,0,sky130_fd_sc_hd,3 +9,xtea,xtea,config_SW_HD_Benchmark,flow_completed,0h12m43s,0h10m10s,96573.4233613522,0.058056,43458.0405126085,47,586.82,2523,0,0,0,0,0,0,0,19,0,0,-1,125690,22256,-3.65,-3.65,-0.54,-2.17,-0.9,-26.13,-26.13,-0.93,-0.93,-2.75,90862040,0,52.18,54.05,6.88,7.78,0,2467,2718,123,374,0,0,0,2523,16,0,54,226,390,436,121,174,468,615,28,164,712,29,905,37.1333085777943,26.93,26.03,AREA 0,6,45,1,153.6,153.18,0.5,0.15,sky130_fd_sc_hd,3 +10,APU,APU,config_SW_HD_Benchmark,flow_completed,0h13m36s,0h10m2s,84799.788962288,0.103976,29679.9261368008,37,568.47,3086,0,0,0,0,0,0,0,3,0,0,-1,123845,26118,-3.15,-3.15,0,-0.79,0,-47.86,-47.86,0,0,0,82939701,0,25.92,25.87,0,0,0,3059,3118,380,439,0,0,0,3086,86,28,103,170,344,251,52,709,683,867,29,224,1309,14,1547,58.8235294117647,17,17,AREA 0,6,35,1,153.6,153.18,0.4,0,sky130_fd_sc_hd,3 +11,wbqspiflash,wbqspiflash,config_SW_HD_Benchmark,flow_completed,0h15m16s,0h12m41s,90644.0162271805,0.070992,36257.6064908722,42,559.17,2574,0,0,0,0,0,0,0,5,0,0,-1,115295,21643,-5.51,-5.51,-1.99,-3.71,-3.19,-10.92,-10.92,-1.99,-1.99,-3.61,83439425,0,36.26,35.26,0.95,1.49,0,2547,2635,264,352,0,0,0,2574,55,1,147,155,796,79,6,376,656,885,19,184,846,14,1044,45.3514739229025,22.05,18.86,AREA 0,6,40,1,153.6,153.18,0.45,0,sky130_fd_sc_hd,3 +12,synth_ram,synth_ram,config_SW_HD_Benchmark,flow_completed,0h26m7s,0h16m48s,67575.693485446,0.214344,30409.0620684507,45,665.52,6518,0,0,0,0,0,0,0,21,0,0,-1,333483,60794,0,0,0,0,0,0,0,0,0,0,219109921,0,30.33,35.19,1.96,0.01,0,6492,6578,2054,2140,0,0,0,6518,8,0,2,1,81,0,0,2080,2155,2080,8,328,2739,45,3112,20,50,50,AREA 0,5,45,1,153.6,153.18,0.5,0,sky130_fd_sc_hd,3 +13,ocs_blitter,ocs_blitter,config_SW_HD_Benchmark,flow_completed,0h26m14s,0h18m19s,87644.9142285251,0.231812,30675.7199799838,37,654.26,7111,0,0,0,0,0,0,0,25,0,0,-1,351244,63164,-33.5,-33.5,-12.68,-14.69,-13.44,-4571.92,-4571.92,-409.21,-409.21,-444.11,240666100,0,31.26,33.95,0.99,0.83,0,7059,7203,439,583,0,0,0,7111,164,0,189,595,1116,745,127,471,962,1734,29,342,3025,92,3459,31.6055625790139,31.64,18.2,AREA 0,6,35,1,153.6,153.18,0.4,0,sky130_fd_sc_hd,3 +14,md5,md5,config_SW_HD_Benchmark,flow_completed,0h30m2s,0h20m45s,88105.7268722467,0.201122,30837.0044052863,37,647.73,6202,0,0,0,0,0,0,0,18,0,0,-1,348946,55166,-6.19,-6.19,0,0,0,-117.94,-117.94,0,0,0,261756077,0,36.14,36.16,3.27,2.47,0,6080,6334,1175,1429,0,0,0,6202,80,0,137,240,1477,396,213,650,1208,1399,43,318,2576,127,3021,26.9905533063428,37.05,37.05,AREA 0,6,35,1,153.6,153.18,0.4,0,sky130_fd_sc_hd,3 +15,PPU,PPU,config_SW_HD_Benchmark,flow_completed,0h38m59s,0h23m13s,70032.0154865609,0.67155,14006.4030973122,20,748.29,9406,0,0,0,0,0,0,0,55,0,0,-1,658021,89403,-9.19,-9.19,-1.97,-3.69,-2.41,-1332.77,-1332.77,-16.95,-16.95,-21.25,429708916,0,22.15,21.6,0.21,0.03,0,9347,9430,2898,2981,0,0,0,9406,53,8,55,72,460,113,21,2942,3193,3308,16,590,9056,216,9862,48.9955903968643,20.41,18,AREA 0,8,20,1,153.6,153.18,0.25,0.05,sky130_fd_sc_hd,3 +16,des,des,config_SW_HD_Benchmark,flow_completed,0h44m17s,0h27m38s,91791.0930479008,0.472626,32126.8825667653,37,812.27,15184,0,0,0,0,0,0,0,84,0,0,-1,694806,127332,0,0,0,-0.68,0,0,0,0,0,0,548942493,0,35.97,35.21,2.18,1.79,0,15125,15306,1957,2138,0,0,0,15184,432,1984,48,448,12688,1792,256,768,1792,512,12,492,6322,427,7241,50,20,20,AREA 0,6,35,1,153.6,153.18,0.4,0.1,sky130_fd_sc_hd,3 +17,genericfir,genericfir,config_SW_HD_Benchmark,flow_completed,0h44m54s,0h35m19s,68734.4304726752,0.306332,30930.4937127039,46,711.79,9475,0,0,0,0,0,0,0,8,0,0,-1,356182,84654,0,0,0,0,0,0,0,0,0,0,216988395,0,28.8,28.52,0.1,0.12,0,12499,12551,6835,6887,0,0,0,9475,0,0,2001,3390,4008,3000,750,7560,3782,3780,6,394,3980,16,4390,100,10,10,AREA 0,7,45,1,153.6,153.18,0.5,0.1,sky130_fd_sc_hd,3 +18,BM64,BM64,config_SW_HD_Benchmark,flow_completed,0h45m57s,0h27m26s,93419.1991213733,0.51191,16815.4558418472,20,747.96,8608,0,0,0,0,0,0,0,143,0,0,-1,946689,89065,0,0,0,0,0,0,0,0,0,0,841797806,0,35.34,41.88,2.61,0.6,0,8102,9123,785,1806,0,0,0,8608,26,0,12,341,2174,989,307,2573,1801,1293,37,514,6861,519,7894,50,20,20,AREA 0,6,18,1,153.6,153.18,0.23,0,sky130_fd_sc_hd,3 +19,sha3,sha3,config_SW_HD_Benchmark,flow_completed,0h51m56s,0h34m22s,86988.7984006115,0.466464,30446.079440214,34,822.65,14202,0,0,0,0,0,0,0,63,0,0,-1,786047,127999,-3.63,-3.63,-1.77,-3.82,-1.47,-2024.08,-2024.08,-287.4,-287.4,-117.36,542133676,0,36.86,41.14,2.54,2.66,0,14177,14246,3031,3100,0,0,0,14202,911,0,893,238,878,848,435,3088,3162,3709,21,490,6173,91,6754,70.5218617771509,14.18,12.71,AREA 0,6,35,1,153.6,153.18,0.4,0.1,sky130_fd_sc_hd,3 +20,y_huff,y_huff,config_SW_HD_Benchmark,flow_completed,0h52m2s,0h38m4s,82424.0092343209,0.324875,32969.6036937284,43,808.24,10711,0,0,0,0,0,0,0,196,0,0,-1,898142,121091,-2.43,-2.43,-4.65,-7.36,-2.86,-2948.28,-2948.28,-1558.71,-1558.71,-622.06,620568195,0,55.05,61.41,20.6,27.05,0,10743,11418,2383,3058,0,0,0,10711,237,0,146,384,1310,575,87,4679,4830,3153,18,406,4303,469,5178,70.3729767769177,14.21,11.35,AREA 0,6,40,1,153.6,153.18,0.45,0.15,sky130_fd_sc_hd,3 +21,picorv32a,picorv32a,config_SW_HD_Benchmark,flow_completed,0h55m21s,0h36m53s,95973.5743640364,0.44286,33590.7510274127,36,826.8,14876,0,0,0,0,0,0,0,154,0,0,-1,966049,138230,-24.89,-24.89,-13.65,-16.57,-14.94,-759.46,-759.46,-332.55,-332.55,-372.22,733911173,0,45.06,44.88,11.76,7.11,0,14596,14978,1565,1947,0,0,0,14876,1159,227,896,560,2391,2462,615,1664,2132,2900,72,476,5878,466,6820,25.2079657171666,39.67,24.73,AREA 0,6,35,1,153.6,153.18,0.4,0.1,sky130_fd_sc_hd,3 +22,usbf_device,usbf_device,config_SW_HD_Benchmark,flow_completed,0h59m58s,0h42m58s,95940.4000043314,0.430962,43173.1800019491,45,875.01,18606,0,0,0,0,0,0,0,45,0,0,-1,815607,151815,0,0,0,0,0,0,0,0,0,0,561580637,0,41.41,37.67,4.41,1.56,0,18581,18727,3775,3921,0,0,0,18606,675,0,362,157,4505,280,61,3692,4004,4615,13,470,5686,140,6296,68.4931506849315,14.6,14.6,AREA 0,6,45,1,153.6,153.18,0.5,0,sky130_fd_sc_hd,3 +23,chacha,chacha,config_SW_HD_Benchmark,flow_completed,1h3m47s,0h44m58s,97627.138590994,0.67977,24406.7846477485,26,892.07,16591,0,0,0,0,0,0,0,245,0,0,-1,1425054,158788,-20.47,-20.47,-3.25,-7.36,-4.71,-7439.91,-7439.91,-355.66,-355.66,-557.29,1111475850,0,40,46.02,8.55,8.88,0,16566,16635,2389,2458,0,0,0,16591,605,5,604,825,810,2011,971,3036,3035,3673,60,594,9117,629,10340,32.5520833333333,30.72,26.01,AREA 0,6,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_hd,3 +24,ldpcenc,ldpcenc,config_SW_HD_Benchmark,flow_completed,1h8m50s,0h47m57s,86617.6535588526,0.814476,21654.4133897131,26,945.47,17637,0,0,0,0,0,0,0,286,0,0,-1,1570885,181212,-23.66,-23.66,-2.82,-5.72,0,-15964.85,-15964.85,-620.31,-620.31,0,1297612011,0,36.21,40.09,5.17,5.26,0,17618,17673,1527,1582,0,0,0,17637,103,94,990,79,2098,1958,84,1265,2487,2565,13,650,10952,1066,12668,77.5193798449612,12.9,12.9,AREA 0,6,25,1,153.6,153.18,0.3,0,sky130_fd_sc_hd,3 +25,aes_cipher,aes_cipher,config_SW_HD_Benchmark,flow_completed,1h9m6s,0h55m27s,116509.432077455,0.500526,29127.3580193636,28,858.09,14579,0,0,0,0,0,0,0,109,0,0,-1,1097710,145973,-2.12,-2.12,-0.1,-1.2,-0.73,-122.9,-122.9,-0.23,-0.23,-12.1,921209003,0,40.9,44.72,6.55,6.51,0,14459,14840,411,792,0,0,0,14579,461,386,73,357,18246,848,301,148,659,660,18,508,6654,935,8097,92.4214417744917,10.82,10.09,AREA 0,5,25,1,153.6,153.18,0.3,0,sky130_fd_sc_hd,3 +26,blabla,blabla,config_SW_HD_Benchmark,flow_completed,1h9m47s,0h34m31s,104444.474594142,1.125696,11488.8922053556,14,894.43,12933,0,0,0,0,0,0,0,391,0,0,-1,2346631,139770,0,0,0,0,0,0,0,0,0,0,2071365234,0,40.54,50.74,6.44,5.43,0,12432,13839,1105,2512,0,0,0,12933,654,5,575,813,507,2076,906,2190,2894,2059,58,768,15245,1546,17559,15.3846153846154,65,65,AREA 0,5,11,1,153.6,153.18,0.16,0.1,sky130_fd_sc_hd,3 +27,aes,aes,config_SW_HD_Benchmark,flow_completed,1h16m8s,0h52m36s,91164.1386114194,1.13526,18232.8277222839,21,1014.56,20699,0,0,0,0,0,0,0,153,0,0,-1,1575813,193809,-2,-2,0,0,0,-5454.14,-5454.14,0,0,0,1196363692,0,28.81,29.07,1.13,0.88,0,20674,20743,3136,3205,0,0,0,20699,219,0,88,346,8956,2179,691,3103,4034,4222,20,770,15284,585,16639,38.6100386100386,25.9,25.9,AREA 0,8,20,1,153.6,153.18,0.25,0,sky130_fd_sc_hd,3 +28,salsa20,salsa20,config_SW_HD_Benchmark,flow_completed,1h22m21s,0h58m49s,90581.5018315018,1.092,18116.3003663004,22,1010.73,19783,0,0,0,0,0,0,0,402,0,0,-1,1971245,201286,-8.14,-8.14,0,0,0,-747.25,-747.25,0,0,0,1623499578,0,32.38,38.39,4.74,2.96,0,19758,19827,4073,4142,0,0,0,19783,518,5,615,755,1608,2268,769,7341,5004,4742,61,756,14818,835,16409,27.2257010618023,36.73,36.73,AREA 0,6,20,1,153.6,153.18,0.25,0,sky130_fd_sc_hd,3 +29,aes_core,aes_core,config_SW_HD_Benchmark,flow_completed,1h24m51s,1h3m9s,96082.0851291826,0.843612,24020.5212822957,27,1003.32,20264,0,0,0,0,0,0,0,176,0,0,-1,1794903,205745,-1.3,-1.3,0,0,0,-3079.71,-3079.71,0,0,0,1445312835,0,41.14,42.75,7.62,1.83,0,20145,20654,2490,2999,0,0,0,20264,303,0,175,343,8961,2035,834,2717,3710,3696,19,662,11320,1093,13075,46.9924812030075,21.28,21.28,AREA 0,6,25,1,153.6,153.18,0.3,0,sky130_fd_sc_hd,3 +30,sha512,sha512,config_SW_HD_Benchmark,flow_completed,1h26m10s,0h58m28s,88922.069942074,1.127819,22230.5174855185,26,1118.28,25072,0,0,0,0,0,0,0,287,0,0,-1,2149091,252330,-25.33,-25.33,0,0,0,-25652.12,-25652.12,0,0,0,1511892178,0,33.98,47.9,3.46,6.32,0,25047,25116,5703,5772,0,0,0,25072,624,0,429,1853,4118,1682,1301,3667,6372,7289,44,768,15245,596,16609,25.4647313470843,39.27,39.27,AREA 0,10,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_hd,3 +31,aes128,aes128,config_SW_HD_Benchmark,flow_completed,1h44m9s,1h0m54s,97350.4093149588,1.84821,24337.6023287397,25,1404.88,44981,0,0,0,0,0,0,0,268,0,0,-1,2415004,362906,-3.98,-3.98,-4.33,-5.8,-4.73,-3912.1,-3912.1,-4142.77,-4142.77,-4395.04,2047468727,0,30.26,29.48,5.22,0.04,0,44857,45238,10452,10833,0,0,0,44981,0,5568,65,1223,1198,17800,6545,800,6496,6736,32,986,25241,2934,29161,61.8811881188119,16.16,11.43,AREA 0,7,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_hd,3 +32,des3,des3,config_SW_HD_Benchmark,flow_completed,2h3m7s,1h8m25s,88665.0656272361,2.730726,17733.0131254472,21,1728.34,48424,0,0,0,0,0,0,0,370,0,0,-1,2576662,408579,-15.64,-15.64,-12.75,-15.41,-13.02,-23792.2,-23792.2,-11662.8,-11662.8,-11862.81,2002372144,0,20.73,23.51,1.64,1.38,0,48367,48658,8959,9250,0,0,0,48424,1344,8808,144,1344,38112,5376,768,2416,5376,1536,12,1202,37382,2245,40829,48.5201358563804,20.61,7.59,AREA 0,7,20,1,153.6,153.18,0.25,0.1,sky130_fd_sc_hd,3 +33,aes192,aes192,config_SW_HD_Benchmark,flow_completed,2h3m55s,1h5m39s,92108.9585696676,2.727422,18421.7917139335,20,1682.87,50244,0,0,0,0,0,0,0,392,0,0,-1,3127590,405919,-3.51,-3.51,-3.83,-5.25,-4.18,-4114.38,-4114.38,-3841.14,-3841.14,-4224.96,2703601053,0,25.52,28.07,2.69,0.3,0,50120,50565,13036,13481,0,0,0,50244,14,7968,55,1831,1158,19939,7390,684,7660,6689,36,1202,37382,3206,41790,60.4229607250755,16.55,12.37,AREA 0,9,20,1,153.6,153.18,0.25,0.1,sky130_fd_sc_hd,3 +34,aes256,aes256,config_SW_HD_Benchmark,flow_completed,2h20m29s,1h15m7s,91778.956878014,2.35773,27533.6870634042,30,1752.91,64917,0,0,0,0,0,0,0,466,0,0,-1,3664353,516992,0,0,-0.31,-2.01,-0.82,0,0,-14.34,-14.34,-53.88,3112794441,0,28.97,40.42,1.06,2.26,0,64793,65302,17643,18152,0,0,0,64917,0,11072,77,1733,1656,24387,9321,1104,9232,9031,32,1116,32196,3776,37088,63.2111251580278,15.82,15,AREA 0,9,30,1,153.6,153.18,0.35,0.05,sky130_fd_sc_hd,3 +35,point_add,point_add,config_SW_HD_Benchmark,flow_completed,2h26m30s,1h29m2s,105428.096180156,2.03202,26357.0240450389,26,1685.63,53558,0,0,0,0,0,0,0,517,0,0,-1,4212562,517367,-32.02,-32.02,-5.19,-7.84,-7.02,-65089.45,-65089.45,-1312.35,-1312.35,-4762.78,3068587526,0,39.79,43.97,15.63,20.14,0,53180,54338,6131,7289,0,0,0,53558,857,1149,640,3041,18519,579,3,6546,10796,12400,18,1036,27816,6552,35404,58.7544065804935,17.02,10,AREA 0,5,25,1,153.6,153.18,0.3,0.15,sky130_fd_sc_hd,3 +36,point_scalar_mult,point_scalar_mult,config_SW_HD_Benchmark,flow_completed,2h29m51s,1h28m19s,103072.635102212,2.257476,25768.1587755529,26,1728.67,58171,0,0,0,0,0,0,0,552,0,0,-1,4078523,522357,-32.02,-32.02,-6.11,-8.8,-5.21,-68945.45,-68945.45,-5481.93,-5481.93,-4761.83,3302617755,0,36.81,40.73,5.52,1.21,0,57791,58713,7456,8378,0,0,0,58171,253,1149,788,3213,18054,582,0,8651,12661,13726,17,1092,30958,5058,37108,65.7462195923734,15.21,10,AREA 0,5,25,1,153.6,153.18,0.3,0.05,sky130_fd_sc_hd,3 +37,jpeg_encoder,jpeg_encoder,config_SW_HD_Benchmark,flow_completed,2h51m25s,1h46m21s,102853.312624825,2.851002,20570.6625249649,21,1828.09,58647,0,0,0,0,0,0,0,915,0,0,-1,4589927,543242,-16.95,-16.95,-0.75,-4.77,-3.16,-23777.33,-23777.33,-8.95,-8.95,-340.21,3786392644,0,30.01,32.92,5.35,3.59,0,58632,58668,4381,4417,0,0,0,58647,3839,1,2296,5188,7634,15175,5614,4439,6723,6061,39,1230,39175,3056,43461,52.7983104540655,18.94,15.78,AREA 0,6,20,1,153.6,153.18,0.25,0,sky130_fd_sc_hd,3 +38,y_dct,y_dct,config_SW_HD_Benchmark,flow_completed,3h16m45s,1h28m59s,89170.4435268611,4.405776,17834.0887053722,23,2746.59,78573,0,0,0,0,0,0,0,367,0,0,-1,5639856,573185,-0.13,-0.13,-0.63,-4.19,-2.35,-0.3,-0.3,-2.35,-2.35,-86.44,5002767725,0,25.92,28.6,1.83,0.48,0,101044,101691,4703,5350,0,0,0,78573,3238,72,1227,3318,3941,3531,161,10172,31779,27586,21,1530,60589,3271,65390,43.7254044599913,22.87,20.52,AREA 0,5,20,1,153.6,153.18,0.25,0,sky130_fd_sc_hd,3 +39,151,riscv_top,config_SW_HD_Benchmark,flow_completed,3h22m59s,1h44m32s,70475.4503129201,4.14323,17618.86257823,26,2672.64,72999,0,0,0,0,0,0,0,728,0,0,-1,5563267,644915,-105.58,-105.58,0,-1.38,0,-1543243.25,-1543243.25,0,0,0,3984826472,0,26.06,29.51,0.57,2.42,0,72802,73137,23324,23659,0,0,0,72999,109,2216,286,1088,3839,426,120,20245,24812,25565,21,1484,56912,2142,60538,20,50,50,DELAY 1,5,25,1,153.6,153.18,0.3,0,sky130_fd_sc_hd,3 +40,ldpc_decoder_802_3an,ldpc_decoder_802_3an,config_SW_HD_Benchmark,flow_failed,5h59m10s,-1,-902110000000,-0.000001,-90211000000,17,-1,90211,-1,-1,-1,-1,-1,-1,-1,-1,0,-1,-1,-1,-1,0,0,0,0,0,0,0,0,0,0,27588874469,-1,-1,-1,-1,-1,-1,88168,92262,2054,6148,0,0,0,90211,0,0,32,0,6519,19649,4544,2048,4098,6146,26,2106,114987,0,117093,12.987012987013,77,77,DELAY 0,6,10,1,153.6,153.18,0.15,0,sky130_fd_sc_hd,3 +41,sound,sound,config_SW_HD_Benchmark,flow_completed,9h30m5s,2h45m45s,95249.5719025532,10.66346,19049.9143805106,20,6296.64,203138,0,0,0,0,0,0,0,803,0,0,-1,10904125,1757298,0,0,0,0,0,0,0,0,0,0,7986888128,0,20.88,21.88,0.47,0.07,0,203103,203220,203103,203220,0,0,0,203138,11691,1896,10906,21307,46996,25037,13741,15776,1102,1650,29,2388,147698,2508,152594,7.30833881458744,136.83,136.83,AREA 0,9,20,1,153.6,153.18,0.25,0,sky130_fd_sc_hd,3 +42,gcd,gcd,UNKNOWN,flow completed,0h1m51s0ms,0h1m28s0ms,-2,0.0784251948,-1,5.11,732.7,-1,0,0,0,0,0,0,0,1,0,0,-1,17778,2526,0,0,-1,0,0,0,0,-1,0,0,14671323,0,6.1,7.5,0.74,0.43,0,347,1106,140,899,0,0,0,293,6,3,2,6,41,25,6,32,70,52,12,190,970,0,1160,100,10,10,DELAY 4,5,50,1,27.14,27.2,0.55,0.3,sky130_fd_sc_hd,3 +43,caravel_upw,user_project_wrapper,UNKNOWN,flow completed,0h5m16s0ms,0h2m8s0ms,-2,-1,-1,-1,476.77,1,0,0,0,0,0,0,0,0,0,-1,-1,1384300,1975,0,-1,0,0,-1,0,-1,0,0,-1,-1,0,1.93,6.55,0.45,0.34,-1,27,645,27,645,0,0,0,1,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,90.9090909090909,11,10,AREA 0,5,50,1,180,180,0.55,0.3,sky130_fd_sc_hd,0 diff --git a/regression_results/benchmark_results/SW_HDLL.csv b/regression_results/benchmark_results/SW_HDLL.csv index 3133f9625..54ab1ae23 100644 --- a/regression_results/benchmark_results/SW_HDLL.csv +++ b/regression_results/benchmark_results/SW_HDLL.csv @@ -1,43 +1,43 @@ -,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GRT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY -0,digital_pll_sky130_fd_sc_hd,digital_pll,config_SW_HDLL_Benchmark,flow_failed,0h0m2s,-1,2040816.3265306123,-1e-06,1000000.0,-1,-1.0,-1,-1,-1,-1,-1,-1,-1,-1,-1,0,-1,-1,-1,-1,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,-1,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,59.52380952380952,16.8,15.8,AREA 0,6,49,1,153.6,153.18,0.54,0.0,sky130_fd_sc_hdll,4,3 -1,y_dct,y_dct,config_SW_HDLL_Benchmark,flow_failed,0h8m28s,-1,-417360000000.0,-1e-06,-83472000000.0,-1,-1.0,83472,-1,-1,-1,-1,-1,-1,-1,-1,0,-1,-1,-1,-1,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,-1,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,105943,106590,4703,5350,0,0,0,83472,3238,72,1227,3318,3941,3531,161,10172,31779,32925,20,0,0,0,0,48.732943469785575,20.52,20.52,AREA 0,5,20,1,153.6,153.18,0.25,0.0,sky130_fd_sc_hdll,4,3 -2,inverter,inverter,config_SW_HDLL_Benchmark,flow_completed,0h9m3s,0h8m51s,1089.3246187363834,0.001836,544.6623093681917,2,383.4,1,0,0,0,0,0,0,0,0,0,0,-1,71,12,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,-1,0.0,0.74,1.39,0.0,0.0,0.0,2,2,2,2,0,0,0,1,0,0,0,0,0,0,0,0,1,1,1,24,7,0,31,100.0,10.0,10.0,AREA 0,5,50,1,153.6,153.18,0.75,0.0,sky130_fd_sc_hdll,4,3 -3,manual_macro_placement_test,manual_macro_placement_test,config_SW_HDLL_Benchmark,flow_completed,0h9m52s,0h9m20s,56.8789388665165,0.100464,19.907628603280774,1,423.5,2,0,0,0,0,0,0,0,8,0,-1,-1,21633,530,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,-1,0.0,4.54,4.94,2.33,3.85,2.35,10,72,10,72,0,0,0,2,0,0,0,0,0,0,0,0,-1,-1,-1,220,673,0,893,10.0,100.0,100.0,AREA 0,5,35,1,30.0,153.18,0.35,0.0,sky130_fd_sc_hdll,0,0 -4,spm,spm,config_SW_HDLL_Benchmark,flow_completed,0h12m16s,0h12m1s,54712.15034686441,0.011526,26808.95366996356,52,405.0,309,0,0,0,0,0,0,0,0,0,-1,-1,7675,2160,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,4932790,0.0,16.03,15.71,0.0,0.0,0.0,313,344,39,70,0,0,0,309,32,0,31,31,1,63,31,0,97,128,6,66,105,0,171,100.0,10.0,10.0,AREA 0,5,49,1,153.6,153.18,0.54,0.0,sky130_fd_sc_hdll,4,3 -5,cic_decimator,cic_decimator,config_SW_HDLL_Benchmark,flow_completed,0h12m19s,0h11m45s,69272.27382749514,0.030251,31172.523222372813,52,482.38,943,0,0,0,0,0,0,0,0,0,-1,-1,27658,6646,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,20182417,0.0,22.48,20.86,0.0,0.0,0.0,932,965,119,152,0,0,0,943,15,0,21,51,90,92,51,220,131,222,16,116,330,0,446,100.0,10.0,10.0,AREA 0,6,45,1,15.0,15.0,0.5,0.0,sky130_fd_sc_hdll,4,3 -6,s44,lut_s44,config_SW_HDLL_Benchmark,flow_completed,0h12m39s,0h12m18s,68260.94923950889,0.043656,3413.0474619754445,6,423.21,149,0,0,0,0,0,0,0,0,0,-1,-1,6293,1107,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,5501065,0.0,2.92,3.42,0.0,0.0,0.0,146,166,38,58,0,0,0,149,0,0,0,0,0,0,0,32,41,64,4,140,504,0,644,33.333333333333336,30.0,30.0,AREA 0,5,5,1,153.6,153.18,0.5,0.0,sky130_fd_sc_hdll,4,3 -7,usb,usb,config_SW_HDLL_Benchmark,flow_completed,0h13m4s,0h12m28s,57194.423699278064,0.04017,22877.769479711227,43,474.09,919,0,0,0,0,0,0,0,1,0,-1,-1,30458,7191,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,20013483,0.0,16.99,18.17,0.0,0.0,0.0,918,942,200,224,0,0,0,919,22,8,43,40,206,49,6,176,242,316,11,134,448,0,582,79.6812749003984,12.55,12.55,AREA 0,6,40,1,153.6,153.18,0.45,0.0,sky130_fd_sc_hdll,4,3 -8,zipdiv,zipdiv,config_SW_HDLL_Benchmark,flow_completed,0h13m26s,0h12m44s,69047.61904761905,0.0462,27619.047619047622,46,483.72,1276,0,0,0,0,0,0,0,2,0,-1,-1,49416,9847,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,37521280,0.0,28.42,30.26,0.54,0.0,0.0,1248,1344,118,214,0,0,0,1276,46,0,3,43,165,146,14,241,273,415,25,146,560,13,719,52.38344683080147,19.09,19.09,AREA 0,6,40,1,153.6,153.18,0.45,0.15,sky130_fd_sc_hdll,4,3 -9,usb_cdc_core,usb_cdc_core,config_SW_HDLL_Benchmark,flow_completed,0h13m38s,0h12m32s,67871.4479452487,0.07046000000000001,30542.151575361913,50,508.41,2152,0,0,0,0,0,0,0,2,0,-1,-1,78135,16004,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,54667049,0.0,25.07,25.61,0.0,0.0,0.0,2148,2179,314,345,0,0,0,2152,99,0,110,89,676,130,41,252,365,683,15,182,837,1,1020,64.1025641025641,15.6,15.6,AREA 0,6,45,1,153.6,153.18,0.5,0.0,sky130_fd_sc_hdll,4,3 -10,xtea,xtea,config_SW_HDLL_Benchmark,flow_completed,0h14m36s,0h13m6s,65415.24459613197,0.089072,29436.860068259386,47,536.14,2622,0,0,0,0,0,0,0,12,0,-1,-1,143890,21325,-2.82,-2.82,-1.02,-2.3,-1.05,-13.91,-13.91,-2.75,-2.75,-3.93,111411052,0.0,41.68,43.87,3.68,0.79,0.0,2566,2817,123,374,0,0,0,2622,16,0,54,226,390,436,121,174,468,615,29,206,1102,18,1326,36.92762186115214,27.080000000000002,26.03,AREA 0,6,45,1,153.6,153.18,0.5,0.15,sky130_fd_sc_hdll,4,3 -11,wbqspiflash,wbqspiflash,config_SW_HDLL_Benchmark,flow_completed,0h16m23s,0h14m26s,63609.70196575778,0.113544,25443.88078630311,41,527.48,2889,0,0,0,0,0,0,0,6,0,-1,-1,143307,22673,-6.89,-6.89,-4.65,-6.15,-5.76,-14.87,-14.87,-7.75,-7.75,-12.75,107586920,0.0,26.93,29.09,0.86,0.0,0.0,2862,2950,264,352,0,0,0,2889,55,1,147,155,796,79,6,376,656,1165,19,236,1438,18,1692,40.61738424045492,24.619999999999997,18.86,AREA 0,6,40,1,153.6,153.18,0.45,0.0,sky130_fd_sc_hdll,4,3 -12,APU,APU,config_SW_HDLL_Benchmark,flow_completed,0h16m45s,0h14m10s,71588.8449620686,0.14974400000000002,25056.095736724008,39,557.3,3752,0,0,0,0,0,0,0,7,0,-1,-1,149264,28644,-3.89,-3.89,0.0,-1.2,-0.41,-68.97,-68.97,0.0,0.0,-3.55,108713601,0.0,22.41,22.05,0.0,0.0,0.0,3725,3784,380,439,0,0,0,3752,86,28,103,170,344,251,52,709,683,1253,29,272,1930,13,2215,57.43825387708213,17.41,17.0,AREA 0,6,35,1,153.6,153.18,0.4,0.0,sky130_fd_sc_hdll,4,3 -13,md5,md5,config_SW_HDLL_Benchmark,flow_completed,0h23m3s,0h16m20s,54784.78740510826,0.320892,19174.67559178789,35,624.93,6153,0,0,0,0,0,0,0,19,0,-1,-1,418144,53003,-5.21,-5.21,0.0,-2.47,-0.35,-62.86,-62.86,0.0,0.0,-0.35,318144665,0.0,26.29,30.16,1.14,0.59,0.0,6031,6285,1175,1429,0,0,0,6153,80,0,137,240,1477,396,213,650,1208,1399,42,404,4182,96,4682,26.737967914438503,37.4,37.05,AREA 0,6,35,1,153.6,153.18,0.4,0.0,sky130_fd_sc_hdll,4,3 -14,ocs_blitter,ocs_blitter,config_SW_HDLL_Benchmark,flow_completed,0h23m52s,0h16m33s,66123.99849764118,0.339276,23143.399474174414,37,644.0,7852,0,0,0,0,0,0,0,31,0,-1,-1,436623,67085,-58.38,-58.38,-16.86,-19.73,-16.87,-11540.28,-11540.28,-711.82,-711.82,-726.19,300945835,0.0,27.25,29.72,0.34,0.0,0.0,7800,7944,439,583,0,0,0,7852,164,0,189,595,1116,745,127,471,962,1734,28,416,4513,54,4983,28.5143997718848,35.07,18.2,AREA 0,6,35,1,153.6,153.18,0.4,0.0,sky130_fd_sc_hdll,4,3 -15,synth_ram,synth_ram,config_SW_HDLL_Benchmark,flow_completed,0h26m8s,0h17m42s,47652.06637370027,0.44419200000000003,21443.42986816512,32,683.21,9525,0,0,0,0,0,0,0,10,0,-1,-1,445289,66508,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,291676900,0.0,22.03,21.23,0.8,0.45,0.0,9499,9585,2054,2140,0,0,0,9525,8,0,2,1,81,0,0,2080,2155,4160,7,478,5902,43,6423,20.0,50.0,50.0,AREA 0,5,45,1,153.6,153.18,0.5,0.0,sky130_fd_sc_hdll,4,3 -16,BM64,BM64,config_SW_HDLL_Benchmark,flow_completed,0h38m15s,0h22m26s,68757.84682988074,0.79296,12376.412429378533,19,761.74,9814,0,0,0,0,0,0,0,100,0,-1,-1,1206249,92412,-0.72,-0.72,0.0,-0.55,0.0,-4.61,-4.61,0.0,0.0,0.0,1085481097,0.0,29.56,35.3,1.03,0.56,0.0,9308,10329,785,1806,0,0,0,9814,26,0,12,341,2174,989,307,2573,1801,2580,34,642,10657,468,11767,50.0,20.0,20.0,AREA 0,6,18,1,153.6,153.18,0.23,0.0,sky130_fd_sc_hdll,4,3 -17,sha3,sha3,config_SW_HDLL_Benchmark,flow_completed,0h38m34s,0h23m50s,73190.84980031493,0.669912,25616.797430110226,41,846.69,17161,0,0,0,0,0,0,0,59,0,-1,-1,910488,138539,-7.63,-7.63,-7.31,-9.73,-7.09,-5326.56,-5326.56,-6672.09,-6672.09,-5763.11,686581152,0.0,32.04,36.22,0.4,1.35,0.0,17136,17205,3031,3100,0,0,0,17161,911,0,893,238,878,848,435,3088,3162,5251,23,590,9056,109,9755,50.505050505050505,19.8,12.71,AREA 0,6,35,1,153.6,153.18,0.4,0.1,sky130_fd_sc_hdll,4,3 -18,PPU,PPU,config_SW_HDLL_Benchmark,flow_completed,0h39m31s,0h20m16s,50369.12521645312,1.342092,10073.825043290624,16,821.18,13520,0,0,0,0,0,0,0,52,0,-1,-1,868220,96331,-25.23,-25.23,-3.36,-5.34,-4.26,-6335.97,-6335.97,-43.3,-43.3,-61.9,591027194,0.0,14.66,14.8,0.0,0.0,0.0,13461,13544,2898,2981,0,0,0,13520,53,8,55,72,460,113,21,2942,3193,6203,16,840,18353,120,19313,44.92362982929021,22.259999999999998,18.0,AREA 0,8,20,1,153.6,153.18,0.25,0.05,sky130_fd_sc_hdll,4,3 -19,des,des,config_SW_HDLL_Benchmark,flow_completed,0h39m44s,0h24m56s,65819.07782180754,0.74733,23036.67723763264,40,815.91,17216,0,0,0,0,0,0,0,98,0,-1,-1,845901,134662,0.0,0.0,-4.65,-7.11,-3.84,0.0,0.0,-305.23,-305.23,-194.23,683223550,0.0,28.54,28.13,1.15,0.82,0.0,17157,17338,1957,2138,0,0,0,17216,432,1984,48,448,12688,1792,256,768,1792,2496,12,622,10014,323,10959,41.946308724832214,23.84,20.0,AREA 0,6,35,1,153.6,153.18,0.4,0.1,sky130_fd_sc_hdll,4,3 -20,picorv32a,picorv32a,config_SW_HDLL_Benchmark,flow_completed,0h40m36s,0h25m31s,70119.44344682971,0.6905359999999999,24541.8052063904,37,850.27,16947,0,0,0,0,0,0,0,67,0,-1,-1,1075476,139471,-0.16,-0.16,-1.21,-4.45,-1.99,-0.16,-0.16,-4.38,-4.38,-9.65,866995035,0.0,36.69,36.13,6.34,0.49,0.0,16667,17049,1565,1947,0,0,0,16947,1159,227,896,560,2391,2462,615,1664,2132,4513,55,598,9327,232,10157,37.4251497005988,26.72,24.73,AREA 0,6,35,1,153.6,153.18,0.4,0.1,sky130_fd_sc_hdll,4,3 -21,genericfir,genericfir,config_SW_HDLL_Benchmark,flow_completed,0h40m39s,0h32m40s,72009.06430603444,0.40893,32404.078937715498,45,739.36,13251,0,0,0,0,0,0,0,8,0,-1,-1,411848,96997,0.0,0.0,-0.11,-1.45,-0.35,0.0,0.0,-2.32,-2.32,-6.9,254709948,0.0,25.89,24.64,0.12,0.0,0.0,16275,16327,6835,6887,0,0,0,13251,0,0,2001,3390,4008,3000,750,7560,3782,7560,6,458,5426,11,5895,96.61835748792271,10.35,10.0,AREA 0,7,45,1,153.6,153.18,0.5,0.1,sky130_fd_sc_hdll,4,3 -22,y_huff,y_huff,config_SW_HDLL_Benchmark,flow_completed,0h41m41s,0h28m35s,72331.90196899875,0.458304,28932.760787599498,46,817.08,13260,0,0,0,0,0,0,0,49,0,-1,-1,1027789,131546,-4.68,-4.68,-6.41,-8.24,-6.7,-6526.76,-6526.76,-7021.5,-7021.5,-7449.31,756014871,0.0,54.84,55.16,11.25,6.8,0.0,13292,13967,2383,3058,0,0,0,13260,237,0,146,384,1310,575,87,4679,4830,5504,16,486,6123,138,6747,55.40166204986149,18.05,11.35,AREA 0,6,40,1,153.6,153.18,0.45,0.15,sky130_fd_sc_hdll,4,3 -23,usbf_device,usbf_device,config_SW_HDLL_Benchmark,flow_completed,0h42m8s,0h25m46s,55366.78977008435,0.8217120000000001,24915.05539653796,34,872.36,20473,0,0,0,0,0,0,0,56,0,-1,-1,1028805,143111,0.0,0.0,0.0,-0.31,0.0,0.0,0.0,0.0,0.0,0.0,777273839,0.0,26.9,26.87,0.74,0.66,0.0,20448,20594,3775,3921,0,0,0,20473,675,0,362,157,4505,280,61,3692,4004,7815,13,654,11019,133,11806,68.4931506849315,14.6,14.6,AREA 0,6,45,1,153.6,153.18,0.5,0.0,sky130_fd_sc_hdll,4,3 -24,chacha,chacha,config_SW_HDLL_Benchmark,flow_completed,0h49m56s,0h30m56s,79357.94963932865,0.975126,19839.487409832163,31,932.55,19346,0,0,0,0,0,0,0,176,0,-1,-1,1729016,171352,-26.21,-26.21,-8.32,-12.17,-9.46,-9827.01,-9827.01,-1553.31,-1553.31,-1865.91,1396588291,0.0,37.76,40.57,7.11,3.78,0.0,19321,19390,2389,2458,0,0,0,19346,605,5,604,825,810,2011,971,3036,3035,5613,70,714,13101,294,14109,28.192839018889202,35.47,26.01,AREA 0,6,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_hdll,4,3 -25,ldpcenc,ldpcenc,config_SW_HDLL_Benchmark,flow_completed,0h50m36s,0h30m5s,73759.52013493412,1.074302,18439.88003373353,31,962.21,19810,0,0,0,0,0,0,0,93,0,-1,-1,1716871,183394,-80.29,-80.29,-16.92,-20.38,-17.2,-56529.54,-56529.54,-4955.14,-4955.14,-4732.44,1410742057,0.0,31.86,34.44,2.75,2.38,0.0,19791,19846,1527,1582,0,0,0,19810,103,94,990,79,2098,1958,84,1265,2487,2659,13,750,14512,577,15839,33.222591362126245,30.1,12.9,AREA 0,6,25,1,153.6,153.18,0.3,0.0,sky130_fd_sc_hdll,4,3 -26,aes_cipher,aes_cipher,config_SW_HDLL_Benchmark,flow_completed,0h53m20s,0h32m27s,62918.66944458115,1.097226,15729.667361145288,33,947.21,17259,0,0,0,0,0,0,0,107,0,-1,-1,1674689,171890,-7.35,-7.35,-4.44,-5.88,-5.26,-1480.33,-1480.33,-759.27,-759.27,-985.79,1471017688,0.0,31.01,34.36,1.89,1.52,0.0,17139,17520,411,792,0,0,0,17259,461,386,73,357,18246,848,301,148,659,1190,18,758,14857,846,16461,65.14657980456026,15.35,10.09,AREA 0,5,25,1,153.6,153.18,0.3,0.0,sky130_fd_sc_hdll,4,3 -27,blabla,blabla,config_SW_HDLL_Benchmark,flow_completed,0h56m37s,0h28m49s,83817.7043573278,1.315584,10896.301566452616,19,950.34,14335,0,0,0,0,0,0,0,264,0,-1,-1,2484936,146214,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,2237428856,0.0,39.02,48.64,5.0,1.19,0.0,13834,15241,1105,2512,0,0,0,14335,654,5,575,813,507,2076,906,2190,2894,3159,67,830,17927,644,19401,15.384615384615385,65.0,65.0,AREA 0,5,13,1,153.6,153.18,0.18,0.1,sky130_fd_sc_hdll,4,3 -28,aes_core,aes_core,config_SW_HDLL_Benchmark,flow_completed,1h3m19s,0h37m47s,60274.08957008069,1.4053799999999999,15068.522392520172,26,1053.68,21177,0,0,0,0,0,0,0,124,0,-1,-1,2201237,208929,-6.86,-6.86,-2.84,-5.99,-4.1,-19420.39,-19420.39,-1485.89,-1485.89,-3836.57,1790749269,0.0,31.41,32.88,3.24,1.41,0.0,21058,21567,2490,2999,0,0,0,21177,303,0,175,343,8961,2035,834,2717,3710,3952,19,858,19175,785,20818,39.40110323089046,25.380000000000003,21.28,AREA 0,6,25,1,153.6,153.18,0.3,0.0,sky130_fd_sc_hdll,4,3 -29,salsa20,salsa20,config_SW_HDLL_Benchmark,flow_completed,1h3m56s,0h37m57s,79726.94108169018,1.494476,15945.388216338037,24,1070.36,23830,0,0,0,0,0,0,0,212,0,-1,-1,2244910,212193,-15.09,-15.09,0.0,-0.64,0.0,-2434.17,-2434.17,0.0,0.0,0.0,1893829070,0.0,29.37,32.96,1.5,2.85,0.0,23805,23874,4073,4142,0,0,0,23830,518,5,615,755,1608,2268,769,7341,5004,8419,70,886,20245,598,21729,27.225701061802344,36.73,36.73,AREA 0,6,20,1,153.6,153.18,0.25,0.0,sky130_fd_sc_hdll,4,3 -30,sha512,sha512,config_SW_HDLL_Benchmark,flow_completed,1h4m34s,0h33m53s,62417.84850582089,1.6486310000000002,15604.462126455222,25,1123.58,25726,0,0,0,0,0,0,0,159,0,-1,-1,2339152,235582,-112.01,-112.01,0.0,-0.15,0.0,-127998.87,-127998.87,0.0,0.0,0.0,1700344637,0.0,28.63,39.25,1.31,2.06,0.0,25701,25770,5703,5772,0,0,0,25726,624,0,429,1853,4118,1682,1301,3667,6372,7289,51,932,22460,434,23826,25.464731347084285,39.27,39.27,AREA 0,10,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_hdll,4,3 -31,aes,aes,config_SW_HDLL_Benchmark,flow_completed,1h5m14s,0h35m36s,56745.024463819296,1.9571759999999998,11349.00489276386,20,1123.2,22212,0,0,0,0,0,0,0,105,0,-1,-1,1964324,202237,-7.41,-7.41,-2.6,-7.54,0.0,-27456.94,-27456.94,-141.36,-141.36,0.0,1529964784,0.0,20.41,22.11,0.77,0.28,0.0,22187,22256,3136,3205,0,0,0,22212,219,0,88,346,8956,2179,691,3103,4034,4478,19,1016,26771,270,28057,38.610038610038615,25.9,25.9,AREA 0,8,20,1,153.6,153.18,0.25,0.0,sky130_fd_sc_hdll,4,3 -32,aes128,aes128,config_SW_HDLL_Benchmark,flow_completed,1h35m12s,0h45m36s,82475.69443592192,2.444502,20618.92360898048,28,1564.17,50403,0,0,0,0,0,0,0,266,0,-1,-1,2763448,375728,-5.61,-5.61,-5.69,-7.0,-5.82,-6370.39,-6370.39,-6264.44,-6264.44,-6327.39,2358427338,0.0,27.52,25.56,3.01,0.04,0.0,50279,50660,10452,10833,0,0,0,50403,0,5568,65,1223,1198,17800,6545,800,6496,12304,34,1136,33341,2554,37031,57.971014492753625,17.25,11.43,AREA 0,7,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_hdll,4,3 -33,aes192,aes192,config_SW_HDLL_Benchmark,flow_completed,2h3m5s,0h49m44s,79539.12559175715,3.591,15907.825118351433,22,2222.52,57125,0,0,0,0,0,0,0,392,0,-1,-1,3615547,422198,-4.81,-4.81,-5.39,-7.36,-5.89,-6351.29,-6351.29,-6504.87,-6504.87,-7037.22,3154591713,0.0,25.38,23.11,0.82,0.0,0.0,57001,57446,13036,13481,0,0,0,57125,14,7968,55,1831,1158,19939,7390,684,7660,14657,32,1380,49472,2801,53653,54.7645125958379,18.259999999999998,12.37,AREA 0,9,20,1,153.6,153.18,0.25,0.1,sky130_fd_sc_hdll,4,3 -34,des3,des3,config_SW_HDLL_Benchmark,flow_completed,2h15m29s,0h53m12s,66910.86304106982,4.291082,13382.172608213965,23,2519.46,57424,0,0,0,0,0,0,0,395,0,-1,-1,3275298,441461,-21.83,-21.83,-21.37,-24.51,-21.25,-33722.27,-33722.27,-18036.93,-18036.93,-18661.03,2668122139,0.0,17.63,20.19,0.14,0.05,0.0,57367,57658,8959,9250,0,0,0,57424,1344,8808,144,1344,38112,5376,768,2416,5376,10344,13,1510,59040,1305,61855,34.674063800277395,28.84,7.59,AREA 0,7,20,1,153.6,153.18,0.25,0.1,sky130_fd_sc_hdll,4,3 -35,point_add,point_add,config_SW_HDLL_Benchmark,flow_completed,2h19m51s,0h57m33s,78933.68167688716,3.141726,19733.42041922179,30,2088.29,61997,0,0,0,0,0,0,0,371,0,-1,-1,4877254,527306,-44.11,-44.11,-10.52,-13.82,-13.38,-99520.61,-99520.61,-11728.54,-11728.54,-18092.9,3983160227,0.0,37.07,41.13,4.39,3.21,0.0,61619,62777,6131,7289,0,0,0,61997,857,1149,640,3041,18519,579,3,6546,10796,18519,17,1290,43021,1661,45972,42.771599657827196,23.380000000000003,10.0,AREA 0,5,25,1,153.6,153.18,0.3,0.15,sky130_fd_sc_hdll,4,3 -36,aes256,aes256,config_SW_HDLL_Benchmark,flow_completed,2h21m38s,0h58m8s,80123.44200310824,3.1559220000000003,24037.03260093247,33,2134.52,75859,0,0,0,0,0,0,0,416,0,-1,-1,4188694,542840,-1.84,-1.84,-1.92,-3.33,-2.48,-1263.02,-1263.02,-1259.66,-1259.66,-1364.59,3586519480,0.0,24.88,35.48,0.7,2.35,0.0,75735,76244,17643,18152,0,0,0,75859,0,11072,77,1733,1656,24387,9321,1104,9232,20103,34,1294,43477,2674,47445,57.20823798627002,17.48,15.0,AREA 0,9,30,1,153.6,153.18,0.35,0.05,sky130_fd_sc_hdll,4,3 -37,point_scalar_mult,point_scalar_mult,config_SW_HDLL_Benchmark,flow_completed,2h28m2s,1h0m30s,78748.75707043539,3.435432,19687.189267608846,29,2221.5,67634,0,0,0,0,0,0,0,466,0,-1,-1,4898419,539778,-44.1,-44.1,-8.38,-11.37,-8.94,-109108.28,-109108.28,-13936.8,-13936.8,-19183.25,4068309731,0.0,31.28,33.62,2.64,0.0,0.0,67254,68176,7456,8378,0,0,0,67634,253,1149,788,3213,18054,582,0,8651,12661,21169,17,1350,47384,2743,51477,52.79831045406548,18.939999999999998,10.0,AREA 0,5,25,1,153.6,153.18,0.3,0.05,sky130_fd_sc_hdll,4,3 -38,jpeg_encoder,jpeg_encoder,config_SW_HDLL_Benchmark,flow_completed,2h55m20s,1h18m11s,77326.5108080614,4.26626,15465.30216161228,24,2570.96,65979,0,0,0,0,0,0,0,486,0,-1,-1,6360849,614801,-24.71,-24.71,-9.5,-18.52,-16.62,-44635.71,-44635.71,-2970.26,-2970.26,-6154.72,5089147897,0.0,27.27,31.16,3.92,2.57,0.0,65964,66000,4381,4417,0,0,0,65979,3839,1,2296,5188,7634,15175,5614,4439,6723,10455,40,1506,58884,1124,61514,30.8641975308642,32.4,15.78,AREA 0,6,20,1,153.6,153.18,0.25,0.0,sky130_fd_sc_hdll,4,3 -39,151,riscv_top,config_SW_HDLL_Benchmark,flow_completed,5h7m23s,1h27m46s,53528.461764775966,8.099691,13382.115441193992,20,4582.41,108391,0,0,0,0,0,0,0,462,0,-1,-1,7797806,784667,-256.71,-256.71,-4.7,-13.29,-4.51,-4460414.0,-4460414.0,-11907.09,-11907.09,-10559.66,5680004802,0.0,20.58,19.78,1.24,0.05,0.03,108194,108529,23324,23659,0,0,0,108391,109,2216,286,1088,3839,426,120,20245,24812,47704,20,2080,112009,2798,116887,18.3452577508714,54.51,50.0,DELAY 1,5,25,1,153.6,153.18,0.3,0.0,sky130_fd_sc_hdll,4,3 -40,ldpc_decoder_802_3an,ldpc_decoder_802_3an,config_SW_HDLL_Benchmark,flow_failed,8h47m28s,-1,-941910000000.0,-1e-06,-94191000000.0,23,-1.0,94191,-1,-1,-1,-1,-1,-1,-1,-1,0,-1,-1,-1,-1,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,36949483489,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,92148,96242,2054,6148,0,0,0,94191,0,0,32,0,6519,19649,4544,2048,4098,6146,25,2514,163662,0,166176,12.987012987012987,77.0,77.0,DELAY 0,6,10,1,153.6,153.18,0.15,0.0,sky130_fd_sc_hdll,4,3 -41,sound,sound,config_SW_HDLL_Benchmark,flow_completed,10h47m8s,2h1m10s,68255.90934324119,12.759159,17063.977335810298,27,7018.92,217722,0,0,0,0,0,0,0,605,0,-1,-1,12569252,1839178,-10.59,-10.59,0.0,0.0,0.0,-129970.02,-129970.02,0.0,0.0,0.0,9186909932,0.0,21.01,21.05,0.4,0.04,0.0,217687,217804,217687,217804,0,0,0,217722,11691,1896,10906,21307,46996,25037,13741,15776,1102,1650,30,2614,177359,1796,181769,7.308338814587444,136.83,136.83,AREA 0,9,25,1,153.6,153.18,0.3,0.0,sky130_fd_sc_hdll,4,3 +,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GRT_ADJUSTMENT,STD_CELL_LIBRARY,DIODE_INSERTION_STRATEGY +0,digital_pll_sky130_fd_sc_hd,digital_pll,config_SW_HDLL_Benchmark,flow_failed,0h0m2s,-1,2040816.32653061,-0.000001,1000000,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,0,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,59.5238095238095,16.8,15.8,AREA 0,6,49,1,153.6,153.18,0.54,0,sky130_fd_sc_hdll,3 +1,y_dct,y_dct,config_SW_HDLL_Benchmark,flow_failed,0h8m28s,-1,-417360000000,-0.000001,-83472000000,-1,-1,83472,-1,-1,-1,-1,-1,-1,-1,-1,0,-1,-1,-1,-1,0,0,0,0,0,0,0,0,0,0,-1,-1,-1,-1,-1,-1,-1,105943,106590,4703,5350,0,0,0,83472,3238,72,1227,3318,3941,3531,161,10172,31779,32925,20,0,0,0,0,48.7329434697856,20.52,20.52,AREA 0,5,20,1,153.6,153.18,0.25,0,sky130_fd_sc_hdll,3 +2,inverter,inverter,config_SW_HDLL_Benchmark,flow_completed,0h9m3s,0h8m51s,1089.32461873638,0.001836,544.662309368192,2,383.4,1,0,0,0,0,0,0,0,0,0,0,-1,71,12,0,0,0,0,0,0,0,0,0,0,-1,0,0.74,1.39,0,0,0,2,2,2,2,0,0,0,1,0,0,0,0,0,0,0,0,1,1,1,24,7,0,31,100,10,10,AREA 0,5,50,1,153.6,153.18,0.75,0,sky130_fd_sc_hdll,3 +3,manual_macro_placement_test,manual_macro_placement_test,config_SW_HDLL_Benchmark,flow_completed,0h9m52s,0h9m20s,56.8789388665165,0.100464,19.9076286032808,1,423.5,2,0,0,0,0,0,0,0,8,0,-1,-1,21633,530,0,0,0,0,0,0,0,0,0,0,-1,0,4.54,4.94,2.33,3.85,2.35,10,72,10,72,0,0,0,2,0,0,0,0,0,0,0,0,-1,-1,-1,220,673,0,893,10,100,100,AREA 0,5,35,1,30,153.18,0.35,0,sky130_fd_sc_hdll,0 +4,spm,spm,config_SW_HDLL_Benchmark,flow_completed,0h12m16s,0h12m1s,54712.1503468644,0.011526,26808.9536699636,52,405,309,0,0,0,0,0,0,0,0,0,-1,-1,7675,2160,0,0,0,0,0,0,0,0,0,0,4932790,0,16.03,15.71,0,0,0,313,344,39,70,0,0,0,309,32,0,31,31,1,63,31,0,97,128,6,66,105,0,171,100,10,10,AREA 0,5,49,1,153.6,153.18,0.54,0,sky130_fd_sc_hdll,3 +5,cic_decimator,cic_decimator,config_SW_HDLL_Benchmark,flow_completed,0h12m19s,0h11m45s,69272.2738274951,0.030251,31172.5232223728,52,482.38,943,0,0,0,0,0,0,0,0,0,-1,-1,27658,6646,0,0,0,0,0,0,0,0,0,0,20182417,0,22.48,20.86,0,0,0,932,965,119,152,0,0,0,943,15,0,21,51,90,92,51,220,131,222,16,116,330,0,446,100,10,10,AREA 0,6,45,1,15,15,0.5,0,sky130_fd_sc_hdll,3 +6,s44,lut_s44,config_SW_HDLL_Benchmark,flow_completed,0h12m39s,0h12m18s,68260.9492395089,0.043656,3413.04746197544,6,423.21,149,0,0,0,0,0,0,0,0,0,-1,-1,6293,1107,0,0,0,0,0,0,0,0,0,0,5501065,0,2.92,3.42,0,0,0,146,166,38,58,0,0,0,149,0,0,0,0,0,0,0,32,41,64,4,140,504,0,644,33.3333333333333,30,30,AREA 0,5,5,1,153.6,153.18,0.5,0,sky130_fd_sc_hdll,3 +7,usb,usb,config_SW_HDLL_Benchmark,flow_completed,0h13m4s,0h12m28s,57194.4236992781,0.04017,22877.7694797112,43,474.09,919,0,0,0,0,0,0,0,1,0,-1,-1,30458,7191,0,0,0,0,0,0,0,0,0,0,20013483,0,16.99,18.17,0,0,0,918,942,200,224,0,0,0,919,22,8,43,40,206,49,6,176,242,316,11,134,448,0,582,79.6812749003984,12.55,12.55,AREA 0,6,40,1,153.6,153.18,0.45,0,sky130_fd_sc_hdll,3 +8,zipdiv,zipdiv,config_SW_HDLL_Benchmark,flow_completed,0h13m26s,0h12m44s,69047.6190476191,0.0462,27619.0476190476,46,483.72,1276,0,0,0,0,0,0,0,2,0,-1,-1,49416,9847,0,0,0,0,0,0,0,0,0,0,37521280,0,28.42,30.26,0.54,0,0,1248,1344,118,214,0,0,0,1276,46,0,3,43,165,146,14,241,273,415,25,146,560,13,719,52.3834468308015,19.09,19.09,AREA 0,6,40,1,153.6,153.18,0.45,0.15,sky130_fd_sc_hdll,3 +9,usb_cdc_core,usb_cdc_core,config_SW_HDLL_Benchmark,flow_completed,0h13m38s,0h12m32s,67871.4479452487,0.07046,30542.1515753619,50,508.41,2152,0,0,0,0,0,0,0,2,0,-1,-1,78135,16004,0,0,0,0,0,0,0,0,0,0,54667049,0,25.07,25.61,0,0,0,2148,2179,314,345,0,0,0,2152,99,0,110,89,676,130,41,252,365,683,15,182,837,1,1020,64.1025641025641,15.6,15.6,AREA 0,6,45,1,153.6,153.18,0.5,0,sky130_fd_sc_hdll,3 +10,xtea,xtea,config_SW_HDLL_Benchmark,flow_completed,0h14m36s,0h13m6s,65415.244596132,0.089072,29436.8600682594,47,536.14,2622,0,0,0,0,0,0,0,12,0,-1,-1,143890,21325,-2.82,-2.82,-1.02,-2.3,-1.05,-13.91,-13.91,-2.75,-2.75,-3.93,111411052,0,41.68,43.87,3.68,0.79,0,2566,2817,123,374,0,0,0,2622,16,0,54,226,390,436,121,174,468,615,29,206,1102,18,1326,36.9276218611521,27.08,26.03,AREA 0,6,45,1,153.6,153.18,0.5,0.15,sky130_fd_sc_hdll,3 +11,wbqspiflash,wbqspiflash,config_SW_HDLL_Benchmark,flow_completed,0h16m23s,0h14m26s,63609.7019657578,0.113544,25443.8807863031,41,527.48,2889,0,0,0,0,0,0,0,6,0,-1,-1,143307,22673,-6.89,-6.89,-4.65,-6.15,-5.76,-14.87,-14.87,-7.75,-7.75,-12.75,107586920,0,26.93,29.09,0.86,0,0,2862,2950,264,352,0,0,0,2889,55,1,147,155,796,79,6,376,656,1165,19,236,1438,18,1692,40.6173842404549,24.62,18.86,AREA 0,6,40,1,153.6,153.18,0.45,0,sky130_fd_sc_hdll,3 +12,APU,APU,config_SW_HDLL_Benchmark,flow_completed,0h16m45s,0h14m10s,71588.8449620686,0.149744,25056.095736724,39,557.3,3752,0,0,0,0,0,0,0,7,0,-1,-1,149264,28644,-3.89,-3.89,0,-1.2,-0.41,-68.97,-68.97,0,0,-3.55,108713601,0,22.41,22.05,0,0,0,3725,3784,380,439,0,0,0,3752,86,28,103,170,344,251,52,709,683,1253,29,272,1930,13,2215,57.4382538770821,17.41,17,AREA 0,6,35,1,153.6,153.18,0.4,0,sky130_fd_sc_hdll,3 +13,md5,md5,config_SW_HDLL_Benchmark,flow_completed,0h23m3s,0h16m20s,54784.7874051083,0.320892,19174.6755917879,35,624.93,6153,0,0,0,0,0,0,0,19,0,-1,-1,418144,53003,-5.21,-5.21,0,-2.47,-0.35,-62.86,-62.86,0,0,-0.35,318144665,0,26.29,30.16,1.14,0.59,0,6031,6285,1175,1429,0,0,0,6153,80,0,137,240,1477,396,213,650,1208,1399,42,404,4182,96,4682,26.7379679144385,37.4,37.05,AREA 0,6,35,1,153.6,153.18,0.4,0,sky130_fd_sc_hdll,3 +14,ocs_blitter,ocs_blitter,config_SW_HDLL_Benchmark,flow_completed,0h23m52s,0h16m33s,66123.9984976412,0.339276,23143.3994741744,37,644,7852,0,0,0,0,0,0,0,31,0,-1,-1,436623,67085,-58.38,-58.38,-16.86,-19.73,-16.87,-11540.28,-11540.28,-711.82,-711.82,-726.19,300945835,0,27.25,29.72,0.34,0,0,7800,7944,439,583,0,0,0,7852,164,0,189,595,1116,745,127,471,962,1734,28,416,4513,54,4983,28.5143997718848,35.07,18.2,AREA 0,6,35,1,153.6,153.18,0.4,0,sky130_fd_sc_hdll,3 +15,synth_ram,synth_ram,config_SW_HDLL_Benchmark,flow_completed,0h26m8s,0h17m42s,47652.0663737003,0.444192,21443.4298681651,32,683.21,9525,0,0,0,0,0,0,0,10,0,-1,-1,445289,66508,0,0,0,0,0,0,0,0,0,0,291676900,0,22.03,21.23,0.8,0.45,0,9499,9585,2054,2140,0,0,0,9525,8,0,2,1,81,0,0,2080,2155,4160,7,478,5902,43,6423,20,50,50,AREA 0,5,45,1,153.6,153.18,0.5,0,sky130_fd_sc_hdll,3 +16,BM64,BM64,config_SW_HDLL_Benchmark,flow_completed,0h38m15s,0h22m26s,68757.8468298807,0.79296,12376.4124293785,19,761.74,9814,0,0,0,0,0,0,0,100,0,-1,-1,1206249,92412,-0.72,-0.72,0,-0.55,0,-4.61,-4.61,0,0,0,1085481097,0,29.56,35.3,1.03,0.56,0,9308,10329,785,1806,0,0,0,9814,26,0,12,341,2174,989,307,2573,1801,2580,34,642,10657,468,11767,50,20,20,AREA 0,6,18,1,153.6,153.18,0.23,0,sky130_fd_sc_hdll,3 +17,sha3,sha3,config_SW_HDLL_Benchmark,flow_completed,0h38m34s,0h23m50s,73190.8498003149,0.669912,25616.7974301102,41,846.69,17161,0,0,0,0,0,0,0,59,0,-1,-1,910488,138539,-7.63,-7.63,-7.31,-9.73,-7.09,-5326.56,-5326.56,-6672.09,-6672.09,-5763.11,686581152,0,32.04,36.22,0.4,1.35,0,17136,17205,3031,3100,0,0,0,17161,911,0,893,238,878,848,435,3088,3162,5251,23,590,9056,109,9755,50.5050505050505,19.8,12.71,AREA 0,6,35,1,153.6,153.18,0.4,0.1,sky130_fd_sc_hdll,3 +18,PPU,PPU,config_SW_HDLL_Benchmark,flow_completed,0h39m31s,0h20m16s,50369.1252164531,1.342092,10073.8250432906,16,821.18,13520,0,0,0,0,0,0,0,52,0,-1,-1,868220,96331,-25.23,-25.23,-3.36,-5.34,-4.26,-6335.97,-6335.97,-43.3,-43.3,-61.9,591027194,0,14.66,14.8,0,0,0,13461,13544,2898,2981,0,0,0,13520,53,8,55,72,460,113,21,2942,3193,6203,16,840,18353,120,19313,44.9236298292902,22.26,18,AREA 0,8,20,1,153.6,153.18,0.25,0.05,sky130_fd_sc_hdll,3 +19,des,des,config_SW_HDLL_Benchmark,flow_completed,0h39m44s,0h24m56s,65819.0778218075,0.74733,23036.6772376326,40,815.91,17216,0,0,0,0,0,0,0,98,0,-1,-1,845901,134662,0,0,-4.65,-7.11,-3.84,0,0,-305.23,-305.23,-194.23,683223550,0,28.54,28.13,1.15,0.82,0,17157,17338,1957,2138,0,0,0,17216,432,1984,48,448,12688,1792,256,768,1792,2496,12,622,10014,323,10959,41.9463087248322,23.84,20,AREA 0,6,35,1,153.6,153.18,0.4,0.1,sky130_fd_sc_hdll,3 +20,picorv32a,picorv32a,config_SW_HDLL_Benchmark,flow_completed,0h40m36s,0h25m31s,70119.4434468297,0.690536,24541.8052063904,37,850.27,16947,0,0,0,0,0,0,0,67,0,-1,-1,1075476,139471,-0.16,-0.16,-1.21,-4.45,-1.99,-0.16,-0.16,-4.38,-4.38,-9.65,866995035,0,36.69,36.13,6.34,0.49,0,16667,17049,1565,1947,0,0,0,16947,1159,227,896,560,2391,2462,615,1664,2132,4513,55,598,9327,232,10157,37.4251497005988,26.72,24.73,AREA 0,6,35,1,153.6,153.18,0.4,0.1,sky130_fd_sc_hdll,3 +21,genericfir,genericfir,config_SW_HDLL_Benchmark,flow_completed,0h40m39s,0h32m40s,72009.0643060344,0.40893,32404.0789377155,45,739.36,13251,0,0,0,0,0,0,0,8,0,-1,-1,411848,96997,0,0,-0.11,-1.45,-0.35,0,0,-2.32,-2.32,-6.9,254709948,0,25.89,24.64,0.12,0,0,16275,16327,6835,6887,0,0,0,13251,0,0,2001,3390,4008,3000,750,7560,3782,7560,6,458,5426,11,5895,96.6183574879227,10.35,10,AREA 0,7,45,1,153.6,153.18,0.5,0.1,sky130_fd_sc_hdll,3 +22,y_huff,y_huff,config_SW_HDLL_Benchmark,flow_completed,0h41m41s,0h28m35s,72331.9019689987,0.458304,28932.7607875995,46,817.08,13260,0,0,0,0,0,0,0,49,0,-1,-1,1027789,131546,-4.68,-4.68,-6.41,-8.24,-6.7,-6526.76,-6526.76,-7021.5,-7021.5,-7449.31,756014871,0,54.84,55.16,11.25,6.8,0,13292,13967,2383,3058,0,0,0,13260,237,0,146,384,1310,575,87,4679,4830,5504,16,486,6123,138,6747,55.4016620498615,18.05,11.35,AREA 0,6,40,1,153.6,153.18,0.45,0.15,sky130_fd_sc_hdll,3 +23,usbf_device,usbf_device,config_SW_HDLL_Benchmark,flow_completed,0h42m8s,0h25m46s,55366.7897700844,0.821712,24915.055396538,34,872.36,20473,0,0,0,0,0,0,0,56,0,-1,-1,1028805,143111,0,0,0,-0.31,0,0,0,0,0,0,777273839,0,26.9,26.87,0.74,0.66,0,20448,20594,3775,3921,0,0,0,20473,675,0,362,157,4505,280,61,3692,4004,7815,13,654,11019,133,11806,68.4931506849315,14.6,14.6,AREA 0,6,45,1,153.6,153.18,0.5,0,sky130_fd_sc_hdll,3 +24,chacha,chacha,config_SW_HDLL_Benchmark,flow_completed,0h49m56s,0h30m56s,79357.9496393287,0.975126,19839.4874098322,31,932.55,19346,0,0,0,0,0,0,0,176,0,-1,-1,1729016,171352,-26.21,-26.21,-8.32,-12.17,-9.46,-9827.01,-9827.01,-1553.31,-1553.31,-1865.91,1396588291,0,37.76,40.57,7.11,3.78,0,19321,19390,2389,2458,0,0,0,19346,605,5,604,825,810,2011,971,3036,3035,5613,70,714,13101,294,14109,28.1928390188892,35.47,26.01,AREA 0,6,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_hdll,3 +25,ldpcenc,ldpcenc,config_SW_HDLL_Benchmark,flow_completed,0h50m36s,0h30m5s,73759.5201349341,1.074302,18439.8800337335,31,962.21,19810,0,0,0,0,0,0,0,93,0,-1,-1,1716871,183394,-80.29,-80.29,-16.92,-20.38,-17.2,-56529.54,-56529.54,-4955.14,-4955.14,-4732.44,1410742057,0,31.86,34.44,2.75,2.38,0,19791,19846,1527,1582,0,0,0,19810,103,94,990,79,2098,1958,84,1265,2487,2659,13,750,14512,577,15839,33.2225913621262,30.1,12.9,AREA 0,6,25,1,153.6,153.18,0.3,0,sky130_fd_sc_hdll,3 +26,aes_cipher,aes_cipher,config_SW_HDLL_Benchmark,flow_completed,0h53m20s,0h32m27s,62918.6694445812,1.097226,15729.6673611453,33,947.21,17259,0,0,0,0,0,0,0,107,0,-1,-1,1674689,171890,-7.35,-7.35,-4.44,-5.88,-5.26,-1480.33,-1480.33,-759.27,-759.27,-985.79,1471017688,0,31.01,34.36,1.89,1.52,0,17139,17520,411,792,0,0,0,17259,461,386,73,357,18246,848,301,148,659,1190,18,758,14857,846,16461,65.1465798045603,15.35,10.09,AREA 0,5,25,1,153.6,153.18,0.3,0,sky130_fd_sc_hdll,3 +27,blabla,blabla,config_SW_HDLL_Benchmark,flow_completed,0h56m37s,0h28m49s,83817.7043573278,1.315584,10896.3015664526,19,950.34,14335,0,0,0,0,0,0,0,264,0,-1,-1,2484936,146214,0,0,0,0,0,0,0,0,0,0,2237428856,0,39.02,48.64,5,1.19,0,13834,15241,1105,2512,0,0,0,14335,654,5,575,813,507,2076,906,2190,2894,3159,67,830,17927,644,19401,15.3846153846154,65,65,AREA 0,5,13,1,153.6,153.18,0.18,0.1,sky130_fd_sc_hdll,3 +28,aes_core,aes_core,config_SW_HDLL_Benchmark,flow_completed,1h3m19s,0h37m47s,60274.0895700807,1.40538,15068.5223925202,26,1053.68,21177,0,0,0,0,0,0,0,124,0,-1,-1,2201237,208929,-6.86,-6.86,-2.84,-5.99,-4.1,-19420.39,-19420.39,-1485.89,-1485.89,-3836.57,1790749269,0,31.41,32.88,3.24,1.41,0,21058,21567,2490,2999,0,0,0,21177,303,0,175,343,8961,2035,834,2717,3710,3952,19,858,19175,785,20818,39.4011032308905,25.38,21.28,AREA 0,6,25,1,153.6,153.18,0.3,0,sky130_fd_sc_hdll,3 +29,salsa20,salsa20,config_SW_HDLL_Benchmark,flow_completed,1h3m56s,0h37m57s,79726.9410816902,1.494476,15945.388216338,24,1070.36,23830,0,0,0,0,0,0,0,212,0,-1,-1,2244910,212193,-15.09,-15.09,0,-0.64,0,-2434.17,-2434.17,0,0,0,1893829070,0,29.37,32.96,1.5,2.85,0,23805,23874,4073,4142,0,0,0,23830,518,5,615,755,1608,2268,769,7341,5004,8419,70,886,20245,598,21729,27.2257010618023,36.73,36.73,AREA 0,6,20,1,153.6,153.18,0.25,0,sky130_fd_sc_hdll,3 +30,sha512,sha512,config_SW_HDLL_Benchmark,flow_completed,1h4m34s,0h33m53s,62417.8485058209,1.648631,15604.4621264552,25,1123.58,25726,0,0,0,0,0,0,0,159,0,-1,-1,2339152,235582,-112.01,-112.01,0,-0.15,0,-127998.87,-127998.87,0,0,0,1700344637,0,28.63,39.25,1.31,2.06,0,25701,25770,5703,5772,0,0,0,25726,624,0,429,1853,4118,1682,1301,3667,6372,7289,51,932,22460,434,23826,25.4647313470843,39.27,39.27,AREA 0,10,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_hdll,3 +31,aes,aes,config_SW_HDLL_Benchmark,flow_completed,1h5m14s,0h35m36s,56745.0244638193,1.957176,11349.0048927639,20,1123.2,22212,0,0,0,0,0,0,0,105,0,-1,-1,1964324,202237,-7.41,-7.41,-2.6,-7.54,0,-27456.94,-27456.94,-141.36,-141.36,0,1529964784,0,20.41,22.11,0.77,0.28,0,22187,22256,3136,3205,0,0,0,22212,219,0,88,346,8956,2179,691,3103,4034,4478,19,1016,26771,270,28057,38.6100386100386,25.9,25.9,AREA 0,8,20,1,153.6,153.18,0.25,0,sky130_fd_sc_hdll,3 +32,aes128,aes128,config_SW_HDLL_Benchmark,flow_completed,1h35m12s,0h45m36s,82475.6944359219,2.444502,20618.9236089805,28,1564.17,50403,0,0,0,0,0,0,0,266,0,-1,-1,2763448,375728,-5.61,-5.61,-5.69,-7,-5.82,-6370.39,-6370.39,-6264.44,-6264.44,-6327.39,2358427338,0,27.52,25.56,3.01,0.04,0,50279,50660,10452,10833,0,0,0,50403,0,5568,65,1223,1198,17800,6545,800,6496,12304,34,1136,33341,2554,37031,57.9710144927536,17.25,11.43,AREA 0,7,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_hdll,3 +33,aes192,aes192,config_SW_HDLL_Benchmark,flow_completed,2h3m5s,0h49m44s,79539.1255917572,3.591,15907.8251183514,22,2222.52,57125,0,0,0,0,0,0,0,392,0,-1,-1,3615547,422198,-4.81,-4.81,-5.39,-7.36,-5.89,-6351.29,-6351.29,-6504.87,-6504.87,-7037.22,3154591713,0,25.38,23.11,0.82,0,0,57001,57446,13036,13481,0,0,0,57125,14,7968,55,1831,1158,19939,7390,684,7660,14657,32,1380,49472,2801,53653,54.7645125958379,18.26,12.37,AREA 0,9,20,1,153.6,153.18,0.25,0.1,sky130_fd_sc_hdll,3 +34,des3,des3,config_SW_HDLL_Benchmark,flow_completed,2h15m29s,0h53m12s,66910.8630410698,4.291082,13382.172608214,23,2519.46,57424,0,0,0,0,0,0,0,395,0,-1,-1,3275298,441461,-21.83,-21.83,-21.37,-24.51,-21.25,-33722.27,-33722.27,-18036.93,-18036.93,-18661.03,2668122139,0,17.63,20.19,0.14,0.05,0,57367,57658,8959,9250,0,0,0,57424,1344,8808,144,1344,38112,5376,768,2416,5376,10344,13,1510,59040,1305,61855,34.6740638002774,28.84,7.59,AREA 0,7,20,1,153.6,153.18,0.25,0.1,sky130_fd_sc_hdll,3 +35,point_add,point_add,config_SW_HDLL_Benchmark,flow_completed,2h19m51s,0h57m33s,78933.6816768872,3.141726,19733.4204192218,30,2088.29,61997,0,0,0,0,0,0,0,371,0,-1,-1,4877254,527306,-44.11,-44.11,-10.52,-13.82,-13.38,-99520.61,-99520.61,-11728.54,-11728.54,-18092.9,3983160227,0,37.07,41.13,4.39,3.21,0,61619,62777,6131,7289,0,0,0,61997,857,1149,640,3041,18519,579,3,6546,10796,18519,17,1290,43021,1661,45972,42.7715996578272,23.38,10,AREA 0,5,25,1,153.6,153.18,0.3,0.15,sky130_fd_sc_hdll,3 +36,aes256,aes256,config_SW_HDLL_Benchmark,flow_completed,2h21m38s,0h58m8s,80123.4420031082,3.155922,24037.0326009325,33,2134.52,75859,0,0,0,0,0,0,0,416,0,-1,-1,4188694,542840,-1.84,-1.84,-1.92,-3.33,-2.48,-1263.02,-1263.02,-1259.66,-1259.66,-1364.59,3586519480,0,24.88,35.48,0.7,2.35,0,75735,76244,17643,18152,0,0,0,75859,0,11072,77,1733,1656,24387,9321,1104,9232,20103,34,1294,43477,2674,47445,57.20823798627,17.48,15,AREA 0,9,30,1,153.6,153.18,0.35,0.05,sky130_fd_sc_hdll,3 +37,point_scalar_mult,point_scalar_mult,config_SW_HDLL_Benchmark,flow_completed,2h28m2s,1h0m30s,78748.7570704354,3.435432,19687.1892676088,29,2221.5,67634,0,0,0,0,0,0,0,466,0,-1,-1,4898419,539778,-44.1,-44.1,-8.38,-11.37,-8.94,-109108.28,-109108.28,-13936.8,-13936.8,-19183.25,4068309731,0,31.28,33.62,2.64,0,0,67254,68176,7456,8378,0,0,0,67634,253,1149,788,3213,18054,582,0,8651,12661,21169,17,1350,47384,2743,51477,52.7983104540655,18.94,10,AREA 0,5,25,1,153.6,153.18,0.3,0.05,sky130_fd_sc_hdll,3 +38,jpeg_encoder,jpeg_encoder,config_SW_HDLL_Benchmark,flow_completed,2h55m20s,1h18m11s,77326.5108080614,4.26626,15465.3021616123,24,2570.96,65979,0,0,0,0,0,0,0,486,0,-1,-1,6360849,614801,-24.71,-24.71,-9.5,-18.52,-16.62,-44635.71,-44635.71,-2970.26,-2970.26,-6154.72,5089147897,0,27.27,31.16,3.92,2.57,0,65964,66000,4381,4417,0,0,0,65979,3839,1,2296,5188,7634,15175,5614,4439,6723,10455,40,1506,58884,1124,61514,30.8641975308642,32.4,15.78,AREA 0,6,20,1,153.6,153.18,0.25,0,sky130_fd_sc_hdll,3 +39,151,riscv_top,config_SW_HDLL_Benchmark,flow_completed,5h7m23s,1h27m46s,53528.461764776,8.099691,13382.115441194,20,4582.41,108391,0,0,0,0,0,0,0,462,0,-1,-1,7797806,784667,-256.71,-256.71,-4.7,-13.29,-4.51,-4460414,-4460414,-11907.09,-11907.09,-10559.66,5680004802,0,20.58,19.78,1.24,0.05,0.03,108194,108529,23324,23659,0,0,0,108391,109,2216,286,1088,3839,426,120,20245,24812,47704,20,2080,112009,2798,116887,18.3452577508714,54.51,50,DELAY 1,5,25,1,153.6,153.18,0.3,0,sky130_fd_sc_hdll,3 +40,ldpc_decoder_802_3an,ldpc_decoder_802_3an,config_SW_HDLL_Benchmark,flow_failed,8h47m28s,-1,-941910000000,-0.000001,-94191000000,23,-1,94191,-1,-1,-1,-1,-1,-1,-1,-1,0,-1,-1,-1,-1,0,0,0,0,0,0,0,0,0,0,36949483489,-1,-1,-1,-1,-1,-1,92148,96242,2054,6148,0,0,0,94191,0,0,32,0,6519,19649,4544,2048,4098,6146,25,2514,163662,0,166176,12.987012987013,77,77,DELAY 0,6,10,1,153.6,153.18,0.15,0,sky130_fd_sc_hdll,3 +41,sound,sound,config_SW_HDLL_Benchmark,flow_completed,10h47m8s,2h1m10s,68255.9093432412,12.759159,17063.9773358103,27,7018.92,217722,0,0,0,0,0,0,0,605,0,-1,-1,12569252,1839178,-10.59,-10.59,0,0,0,-129970.02,-129970.02,0,0,0,9186909932,0,21.01,21.05,0.4,0.04,0,217687,217804,217687,217804,0,0,0,217722,11691,1896,10906,21307,46996,25037,13741,15776,1102,1650,30,2614,177359,1796,181769,7.30833881458744,136.83,136.83,AREA 0,9,25,1,153.6,153.18,0.3,0,sky130_fd_sc_hdll,3 diff --git a/regression_results/benchmark_results/SW_HS.csv b/regression_results/benchmark_results/SW_HS.csv index 2277e5e02..484cc7600 100644 --- a/regression_results/benchmark_results/SW_HS.csv +++ b/regression_results/benchmark_results/SW_HS.csv @@ -1,43 +1,43 @@ -,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GRT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY -0,digital_pll_sky130_fd_sc_hd,digital_pll,config_TEST_SW_HS,flow_failed,0h0m36s,-1,2040816.3265306123,-1e-06,1000000.0,-1,-1.0,-1,-1,-1,-1,-1,-1,-1,-1,-1,0,-1,-1,-1,-1,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,-1,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,59.52380952380952,16.8,15.8,AREA 0,6,49,1,153.6,153.18,0.54,0.0,sky130_fd_sc_hs,4,4 -1,inverter,inverter,config_TEST_SW_HS,flow_completed,0h5m55s,0h5m14s,1089.3246187363834,0.001836,544.6623093681917,4,382.66,1,0,0,0,0,0,0,0,0,0,-1,-1,76,13,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,-1,0.0,1.13,0.97,0.0,0.0,0.0,2,2,2,2,0,0,0,1,0,0,0,0,0,0,0,0,1,1,1,16,5,0,21,100.0,10.0,10.0,AREA 0,5,50,1,153.6,153.18,0.75,0.0,sky130_fd_sc_hs,4,4 -2,manual_macro_placement_test,manual_macro_placement_test,config_TEST_SW_HS,flow_completed,0h6m56s,0h5m12s,56.009230321156934,0.102024,19.603230612404925,1,419.47,2,0,0,0,0,0,0,0,2,0,-1,-1,20078,488,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,-1,0.0,3.64,4.62,3.39,4.6,0.05,10,72,10,72,0,0,0,2,0,0,0,0,0,0,0,0,-1,-1,-1,180,563,0,743,10.0,100.0,100.0,AREA 0,5,35,1,30.0,153.18,0.35,0.0,sky130_fd_sc_hs,0,0 -3,zipdiv,zipdiv,config_HS_first_rerun,flow_completed,0h7m29s,0h6m41s,63539.12951392566,0.048563999999999996,22238.69532987398,44,527.65,1080,0,0,0,0,0,0,0,1,0,-1,-1,49545,10065,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,36926298,0.0,33.03,29.53,1.28,0.0,0.0,1052,1148,118,214,0,0,0,1080,46,0,3,43,165,146,14,241,273,273,31,120,463,0,583,52.38344683080147,19.09,19.09,AREA 0,6,35,1,153.6,153.18,0.4,0.15,sky130_fd_sc_hs,4,4 -4,xtea,xtea,config_HS_first_rerun,flow_completed,0h8m41s,0h6m55s,70333.42932188454,0.098226,24616.700262659586,45,576.07,2418,0,0,0,0,0,0,0,6,0,-1,-1,147446,23652,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,116491155,0.0,46.4,43.96,2.94,1.25,0.0,2362,2613,123,374,0,0,0,2418,16,0,54,226,390,436,121,174,468,615,28,176,988,0,1164,38.417210910487896,26.03,26.03,AREA 0,6,35,1,153.6,153.18,0.4,0.15,sky130_fd_sc_hs,4,4 -5,s44,lut_s44,config_TEST_SW_HS,flow_completed,0h13m26s,0h12m12s,44683.98747005712,0.043416,2234.1993735028564,7,415.36,97,0,0,0,0,0,0,0,0,0,-1,-1,6663,1044,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,5279922,0.0,3.91,3.65,0.0,0.0,0.0,94,114,38,58,0,0,0,97,0,0,0,0,0,0,0,32,41,32,4,114,411,0,525,33.333333333333336,30.0,30.0,AREA 0,5,5,1,153.6,153.18,0.5,0.0,sky130_fd_sc_hs,4,4 -6,spm,spm,config_TEST_SW_HS,flow_completed,0h16m33s,0h15m31s,42597.40259740259,0.01375,20872.727272727272,54,444.0,287,0,0,0,0,0,0,0,0,0,-1,-1,9122,2215,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,5730580,0.0,18.4,16.17,0.0,0.0,0.0,291,322,39,70,0,0,0,287,32,0,31,31,1,63,31,0,97,128,4,58,108,0,166,100.0,10.0,10.0,AREA 0,5,49,1,153.6,153.18,0.54,0.0,sky130_fd_sc_hs,4,4 -7,cic_decimator,cic_decimator,config_TEST_SW_HS,flow_completed,0h18m30s,0h16m28s,62959.818902093946,0.031806,25183.92756083758,48,498.91,801,0,0,0,0,0,0,0,0,0,-1,-1,30591,7001,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,19857159,0.0,28.08,22.4,0.21,0.0,0.0,790,823,119,152,0,0,0,801,15,0,21,51,90,92,51,220,131,112,16,94,269,0,363,100.0,10.0,10.0,AREA 0,6,40,1,15.0,15.0,0.45,0.0,sky130_fd_sc_hs,4,4 -8,usb,usb,config_TEST_SW_HS,flow_completed,0h19m58s,0h17m34s,50597.25311925719,0.041356,20238.901247702877,46,492.91,837,0,0,0,0,0,0,0,0,0,-1,-1,31610,7220,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,19723971,0.0,20.67,18.76,0.0,0.0,0.0,836,860,200,224,0,0,0,837,22,8,43,40,206,49,6,176,242,251,12,110,370,0,480,79.6812749003984,12.55,12.55,AREA 0,6,40,1,153.6,153.18,0.45,0.0,sky130_fd_sc_hs,4,4 -9,usb_cdc_core,usb_cdc_core,config_TEST_SW_HS,flow_completed,0h36m47s,0h31m18s,63659.16168324744,0.072574,28646.622757461348,51,555.52,2079,0,0,0,0,0,0,0,1,0,-1,-1,78605,16243,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,52298687,0.0,30.02,25.69,0.87,0.0,0.0,2075,2106,314,345,0,0,0,2079,99,0,110,89,676,130,41,252,365,683,19,150,693,0,843,64.1025641025641,15.6,15.6,AREA 0,6,45,1,153.6,153.18,0.5,0.0,sky130_fd_sc_hs,4,4 -10,APU,APU,config_TEST_SW_HS,flow_completed,0h41m20s,0h29m9s,64099.112346379596,0.137956,22434.689321232858,44,575.89,3095,0,0,0,0,0,0,0,1,0,-1,-1,146362,28916,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,94677735,0.0,28.89,25.77,0.06,0.0,0.0,3068,3127,380,439,0,0,0,3095,86,28,103,170,344,251,52,709,683,867,26,212,1404,0,1616,58.8235294117647,17.0,17.0,AREA 0,6,35,1,153.6,153.18,0.4,0.0,sky130_fd_sc_hs,4,4 -11,wbqspiflash,wbqspiflash,config_TEST_SW_HS,flow_completed,0h53m51s,0h43m37s,69700.39477779416,0.12209400000000001,20910.118433338248,41,572.12,2553,0,0,0,0,0,0,0,2,0,-1,-1,146343,25031,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,106634042,0.0,33.93,27.36,0.55,0.14,0.0,2526,2614,264,352,0,0,0,2553,55,1,147,155,796,79,6,376,656,885,20,198,1212,0,1410,53.022269353128316,18.86,18.86,AREA 0,6,30,1,153.6,153.18,0.35,0.0,sky130_fd_sc_hs,4,4 -12,synth_ram,synth_ram,config_TEST_SW_HS,flow_completed,1h11m43s,0h40m44s,51091.51479521851,0.2835,22991.18165784833,56,670.1,6518,0,0,0,0,0,0,0,3,0,-1,-1,456582,70287,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,250011549,0.0,45.76,35.41,1.71,0.77,0.0,6492,6578,2054,2140,0,0,0,6518,8,0,2,1,81,0,0,2080,2155,2080,8,308,2964,0,3272,20.0,50.0,50.0,AREA 0,5,45,1,153.6,153.18,0.5,0.0,sky130_fd_sc_hs,4,4 -13,point_scalar_mult,point_scalar_mult,config_HS_first_rerun,flow_completed,3h13m43s,1h7m53s,75755.58111687611,3.6461339999999995,15151.116223375224,27,1918.27,55243,0,0,0,0,0,0,946,75,0,-1,-1,4846268,518607,-21.64,-21.64,-0.33,-3.15,0.0,-46555.95,-46555.95,-6.32,-6.32,0.0,4051500631,0.0,36.83,32.87,5.98,0.17,0.0,54863,55785,7456,8378,0,0,0,55243,253,1149,788,3213,18054,582,0,8651,12661,13726,17,1134,40677,0,41811,100.0,10.0,10.0,AREA 0,5,20,1,153.6,153.18,0.25,0.05,sky130_fd_sc_hs,4,4 -14,y_dct,y_dct,config_HS_first_rerun,flow_completed,5h41m7s,1h27m11s,63922.10893726463,8.16525,9588.316340589694,20,3662.27,78291,0,0,0,0,0,0,48,113,0,-1,-1,7407274,631109,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,6689631501,0.0,25.46,21.61,0.96,0.11,0.0,100762,101409,4703,5350,0,0,0,78291,3238,72,1227,3318,3941,3531,161,10172,31779,27586,20,1704,91372,0,93076,48.732943469785575,20.52,20.52,AREA 0,5,15,1,153.6,153.18,0.2,0.0,sky130_fd_sc_hs,4,4 -15,ocs_blitter,ocs_blitter,config_TEST_SW_HS,flow_completed,1h28m5s,0h53m8s,65731.12250266835,0.304096,23005.89287593392,49,694.32,6996,0,0,0,0,0,0,0,6,0,-1,-1,458272,74664,-18.79,-18.79,0.0,-1.3,0.0,-2661.43,-2661.43,0.0,0.0,0.0,276392798,0.0,40.98,35.34,1.62,0.09,0.0,6944,7088,439,583,0,0,0,6996,164,0,189,595,1116,745,127,471,962,1734,27,318,3218,0,3536,54.94505494505495,18.2,18.2,AREA 0,6,35,1,153.6,153.18,0.4,0.0,sky130_fd_sc_hs,4,4 -16,md5,md5,config_TEST_SW_HS,flow_completed,1h36m27s,1h9m24s,60802.48652406428,0.268786,21280.870283422497,47,668.08,5720,0,0,0,0,0,0,0,6,0,-1,-1,415257,60337,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,297487632,0.0,41.35,33.27,5.24,1.47,0.44,5598,5852,1175,1429,0,0,0,5720,80,0,137,240,1477,396,213,650,1208,1399,38,300,2812,0,3112,26.990553306342782,37.05,37.05,AREA 0,6,35,1,153.6,153.18,0.4,0.0,sky130_fd_sc_hs,4,4 -17,ldpc_decoder_802_3an,ldpc_decoder_802_3an,config_TEST_SW_HS,flow_failed,1h52m10s,-1,-922790000000.0,-1e-06,-92279000000.0,18,-1.0,92279,-1,-1,-1,-1,-1,-1,-1,-1,0,-1,-1,-1,-1,-24.93,-24.93,-24.93,-24.93,-24.93,-51051.49,-51051.49,-51051.49,-51051.49,-51051.49,34340189885,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,90236,94330,2054,6148,0,0,0,92279,0,0,32,0,6519,19649,4544,2048,4098,6146,22,1980,123496,0,125476,9.810654370646521,101.93,77.0,DELAY 0,6,10,1,153.6,153.18,0.15,0.0,sky130_fd_sc_hs,4,4 -18,151,riscv_top,config_HS_first_rerun,flow_completed,6h18m4s,2h21m6s,51387.22475844128,7.120836,10277.444951688258,27,3504.43,73184,0,0,0,0,0,0,11,120,0,-1,-1,6881756,743020,-179.09,-179.09,0.0,0.0,0.0,-2901186.25,-2901186.25,0.0,0.0,0.0,5095497474,0.0,25.87,22.71,1.37,0.83,0.0,72987,73322,23324,23659,0,0,0,73184,109,2216,286,1088,3839,426,120,20245,24812,25565,22,1590,79694,0,81284,20.0,50.0,50.0,DELAY 1,5,20,1,153.6,153.18,0.25,0.0,sky130_fd_sc_hs,4,4 -19,PPU,PPU,config_TEST_SW_HS,flow_completed,2h7m20s,0h55m43s,53353.710461596456,0.880726,10670.742092319291,28,790.63,9398,0,0,0,0,0,0,0,10,0,-1,-1,750221,104523,-10.16,-10.16,0.0,0.0,0.0,-1844.88,-1844.88,0.0,0.0,0.0,486061374,0.0,27.23,19.94,0.37,0.09,0.0,9339,9422,2898,2981,0,0,0,9398,53,8,55,72,460,113,21,2942,3193,3308,15,552,9589,0,10141,55.55555555555556,18.0,18.0,AREA 0,8,20,1,153.6,153.18,0.25,0.05,sky130_fd_sc_hs,4,4 -20,genericfir,genericfir,config_TEST_SW_HS,flow_completed,2h41m41s,2h8m50s,49309.74167940392,0.42700600000000005,22189.383755731767,50,720.74,9475,0,0,0,0,0,0,0,3,0,-1,-1,398743,86811,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,255052655,0.0,30.61,24.87,0.29,0.09,0.0,12499,12551,6835,6887,0,0,0,9475,0,0,2001,3390,4008,3000,750,7560,3782,3780,6,380,4512,0,4892,100.0,10.0,10.0,AREA 0,7,45,1,153.6,153.18,0.5,0.1,sky130_fd_sc_hs,4,4 -21,BM64,BM64,config_TEST_SW_HS,flow_completed,2h56m31s,1h34m42s,66654.02009860548,0.706384,11997.723617748987,27,770.57,8475,0,0,0,0,0,0,0,19,0,-1,-1,1117332,98915,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,993562777,0.0,39.9,38.35,3.26,0.62,0.0,7969,8990,785,1806,0,0,0,8475,26,0,12,341,2174,989,307,2573,1801,1293,33,492,7684,0,8176,50.0,20.0,20.0,AREA 0,6,18,1,153.6,153.18,0.23,0.0,sky130_fd_sc_hs,4,4 -22,y_huff,y_huff,config_TEST_SW_HS,flow_completed,3h11m0s,2h6m22s,60858.34695106217,0.58135,18257.50408531865,40,801.75,10614,0,0,0,0,0,0,4,13,0,-1,-1,986233,114787,-1.08,-1.08,0.0,0.0,0.0,-1336.91,-1336.91,0.0,0.0,0.0,818611577,0.0,52.13,48.03,7.63,1.1,0.0,10646,11321,2383,3058,0,0,0,10614,237,0,146,384,1310,575,87,4679,4830,3153,16,446,6298,0,6744,88.1057268722467,11.35,11.35,AREA 0,6,30,1,153.6,153.18,0.35,0.15,sky130_fd_sc_hs,4,4 -23,des,des,config_TEST_SW_HS,flow_completed,3h12m14s,2h15m6s,73760.61336606245,0.618526,25816.214678121854,40,845.79,15968,0,0,0,0,0,0,2,21,0,-1,-1,772248,134391,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,626055829,0.0,38.27,32.83,4.39,0.43,0.0,15909,16090,1957,2138,0,0,0,15968,432,1984,48,448,12688,1792,256,768,1792,512,13,460,6610,0,7070,50.0,20.0,20.0,AREA 0,6,35,1,153.6,153.18,0.4,0.1,sky130_fd_sc_hs,4,4 -24,picorv32a,picorv32a,config_TEST_SW_HS,flow_completed,3h18m57s,2h8m39s,70840.84534519963,0.5828760000000001,24794.295870819868,47,869.55,14452,0,0,0,0,0,0,0,8,0,-1,-1,1118361,149464,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,785596635,0.0,54.06,47.45,13.16,6.03,0.0,14172,14554,1565,1947,0,0,0,14452,1159,227,896,560,2391,2462,615,1664,2132,2900,134,446,6298,0,6744,40.43671653861706,24.73,24.73,AREA 0,6,35,1,153.6,153.18,0.4,0.1,sky130_fd_sc_hs,4,4 -25,chacha,chacha,config_TEST_SW_HS,flow_completed,3h33m32s,1h57m26s,73441.85612208147,0.899596,18360.46403052037,36,955.29,16517,0,0,0,0,0,0,0,11,0,-1,-1,1585656,175128,-8.85,-8.85,0.0,0.0,0.0,-2412.74,-2412.74,0.0,0.0,0.0,1302910180,0.0,46.98,44.39,16.0,5.49,0.0,16492,16561,2389,2458,0,0,0,16517,605,5,604,825,810,2011,971,3036,3035,3673,57,558,9833,0,10391,38.446751249519416,26.01,26.01,AREA 0,6,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_hs,4,4 -26,usbf_device,usbf_device,config_TEST_SW_HS,flow_completed,3h53m19s,3h8m52s,63137.71013794133,0.609897,25255.084055176532,48,874.93,15403,0,0,0,0,0,0,0,5,0,-1,-1,939257,146158,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,656374352,0.0,39.89,36.62,2.27,0.76,0.0,15378,15524,3775,3921,0,0,0,15403,675,0,362,157,4505,280,61,3692,4004,4615,14,456,6553,0,7009,68.4931506849315,14.6,14.6,AREA 0,6,40,1,153.6,153.18,0.45,0.0,sky130_fd_sc_hs,4,4 -27,ldpcenc,ldpcenc,config_TEST_SW_HS,flow_completed,3h53m37s,2h13m50s,65395.085241789384,1.07635,16348.771310447346,38,1029.18,17597,0,0,0,0,0,0,0,64,0,-1,-1,1823802,207324,-33.26,-33.26,0.0,-0.66,0.0,-22744.2,-22744.2,0.0,0.0,0.0,1479233557,0.0,41.66,38.5,7.74,1.77,0.0,17578,17633,1527,1582,0,0,0,17597,103,94,990,79,2098,1958,84,1265,2487,2565,13,610,11664,0,12274,77.51937984496124,12.9,12.9,AREA 0,6,25,1,153.6,153.18,0.3,0.0,sky130_fd_sc_hs,4,4 -28,sha3,sha3,config_TEST_SW_HS,flow_completed,3h56m13s,3h13m38s,65164.22261072658,0.628785,22807.4779137543,45,884.91,14341,0,0,0,0,0,0,0,13,0,-1,-1,973196,147618,-2.06,-2.06,-1.24,-4.52,0.0,-60.62,-60.62,-66.37,-66.37,0.0,630279903,0.0,44.88,39.91,7.08,3.82,0.25,14316,14385,3031,3100,0,0,0,14341,911,0,893,238,878,848,435,3088,3162,3709,23,464,6784,0,7248,78.67820613690007,12.71,12.71,AREA 0,6,35,1,153.6,153.18,0.4,0.1,sky130_fd_sc_hs,4,4 -29,blabla,blabla,config_TEST_SW_HS,flow_completed,4h5m58s,1h53m58s,77823.52137431077,1.268946,10117.0577786604,22,935.21,12838,0,0,0,0,0,0,0,41,0,-1,-1,2377113,152135,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,2185686505,0.0,48.92,49.82,14.93,4.89,0.0,12337,13744,1105,2512,0,0,0,12838,654,5,575,813,507,2076,906,2190,2894,2059,58,664,13859,0,14523,15.384615384615385,65.0,65.0,AREA 0,5,13,1,153.6,153.18,0.18,0.1,sky130_fd_sc_hs,4,4 -30,aes_cipher,aes_cipher,config_TEST_SW_HS,flow_completed,4h11m32s,3h27m45s,87607.93116348673,0.66825,21901.982790871683,36,915.18,14636,0,0,0,0,0,0,75,6,0,-1,-1,1267730,166675,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,1050991121,0.0,46.83,43.0,7.66,2.01,0.0,14516,14897,411,792,0,0,0,14636,461,386,73,357,18246,848,301,148,659,660,18,478,7228,0,7706,99.10802775024777,10.09,10.09,AREA 0,5,25,1,153.6,153.18,0.3,0.0,sky130_fd_sc_hs,4,4 -31,aes,aes,config_TEST_SW_HS,flow_completed,4h29m28s,3h5m57s,63387.451214559114,1.487124,12677.490242911823,29,1120.23,18853,0,0,0,0,0,0,0,27,0,-1,-1,1788919,222940,-0.16,-0.16,0.0,0.0,0.0,-423.86,-423.86,0.0,0.0,0.0,1309171269,0.0,33.54,26.77,2.09,0.23,0.2,18828,18897,3136,3205,0,0,0,18853,219,0,88,346,8956,2179,691,3103,4034,4222,18,720,16288,0,17008,38.610038610038615,25.9,25.9,AREA 0,8,20,1,153.6,153.18,0.25,0.0,sky130_fd_sc_hs,4,4 -32,aes_core,aes_core,config_TEST_SW_HS,flow_completed,4h31m51s,3h21m8s,66708.18980310406,1.101394,16677.047450776015,38,1080.71,18368,0,0,0,0,0,0,0,32,0,-1,-1,2039979,230309,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,1614988012,0.0,47.29,40.6,9.74,1.05,0.0,18249,18758,2490,2999,0,0,0,18368,303,0,175,343,8961,2035,834,2717,3710,3696,19,618,11971,0,12589,46.992481203007515,21.28,21.28,AREA 0,6,25,1,153.6,153.18,0.3,0.0,sky130_fd_sc_hs,4,4 -33,sha512,sha512,config_TEST_SW_HS,flow_completed,4h33m45s,2h37m9s,63354.798248759274,1.511614,15838.699562189819,37,1219.99,23942,0,0,0,0,0,0,0,37,0,-1,-1,2378232,285269,-33.84,-33.84,0.0,0.0,0.0,-34419.98,-34419.98,0.0,0.0,0.0,1707843573,0.0,43.15,44.48,5.44,3.92,0.0,23917,23986,5703,5772,0,0,0,23942,624,0,429,1853,4118,1682,1301,3667,6372,7289,43,726,16603,0,17329,25.464731347084285,39.27,39.27,AREA 0,10,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_hs,4,4 -34,salsa20,salsa20,config_TEST_SW_HS,flow_completed,4h34m47s,3h22m32s,66309.70544950872,1.478592,13261.941089901746,29,1087.31,19609,0,0,0,0,0,0,2,41,0,-1,-1,2223446,220131,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,1884297670,0.0,39.36,32.93,6.6,0.96,0.0,19584,19653,4073,4142,0,0,0,19609,518,5,615,755,1608,2268,769,7341,5004,4742,60,718,16241,0,16959,27.225701061802344,36.73,36.73,AREA 0,6,20,1,153.6,153.18,0.25,0.0,sky130_fd_sc_hs,4,4 -35,aes128,aes128,config_TEST_SW_HS,flow_completed,4h54m45s,3h0m27s,68252.09376377477,2.431984,17063.023440943693,30,1412.33,41497,0,0,0,0,0,0,317,52,0,-1,-1,2597497,332924,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,2293628181,0.0,34.59,26.32,5.53,0.07,0.0,41373,41754,10452,10833,0,0,0,41497,0,5568,65,1223,1198,17800,6545,800,6496,6736,29,924,26908,0,27832,87.48906386701663,11.43,11.43,AREA 0,7,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_hs,4,4 -36,aes192,aes192,config_TEST_SW_HS,flow_completed,5h38m19s,3h37m1s,64932.29093955046,3.5815,12986.458187910093,25,1736.87,46511,0,0,0,0,0,0,720,72,0,-1,-1,3405410,378950,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,3044031058,0.0,30.75,24.23,3.9,0.13,0.0,46387,46832,13036,13481,0,0,0,46511,14,7968,55,1831,1158,19939,7390,684,7660,6689,31,1124,39758,0,40882,80.84074373484236,12.37,12.37,AREA 0,9,20,1,153.6,153.18,0.25,0.1,sky130_fd_sc_hs,4,4 -37,aes256,aes256,config_TEST_SW_HS,flow_completed,5h54m50s,3h49m15s,64481.40577537214,3.113404,19344.42173261164,36,1789.38,60227,0,0,0,0,0,0,657,93,0,-1,-1,4028307,478989,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,3532346146,0.0,31.59,37.6,1.85,1.38,0.0,60103,60612,17643,18152,0,0,0,60227,0,11072,77,1733,1656,24387,9321,1104,9232,9031,29,1048,34710,0,35758,66.66666666666667,15.0,15.0,AREA 0,9,30,1,153.6,153.18,0.35,0.05,sky130_fd_sc_hs,4,4 -38,des3,des3,config_TEST_SW_HS,flow_completed,6h14m46s,4h42m31s,70663.75259706432,3.5588260000000003,14132.750519412864,24,1790.59,50296,0,0,0,0,0,0,1012,65,0,-1,-1,2847695,431599,-12.35,-12.35,-9.54,-12.61,-8.35,-19384.8,-19384.8,-6670.48,-6670.48,-5608.64,2273959018,0.0,24.28,21.91,1.91,0.6,0.0,50239,50530,8959,9250,0,0,0,50296,1344,8808,144,1344,38112,5376,768,2416,5376,1536,14,1120,39615,0,40735,62.73525721455458,15.94,7.59,AREA 0,7,20,1,153.6,153.18,0.25,0.1,sky130_fd_sc_hs,4,4 -39,point_add,point_add,config_TEST_SW_HS,flow_completed,6h18m24s,4h32m54s,77397.15332780207,2.613016,19349.288331950516,34,1708.94,50560,0,0,0,0,0,0,1576,56,0,-1,-1,4546028,489989,-21.64,-21.64,0.0,-1.28,0.0,-45871.29,-45871.29,0.0,0.0,0.0,3458780408,0.0,48.08,42.66,16.2,17.5,0.66,50182,51340,6131,7289,0,0,0,50560,857,1149,640,3041,18519,579,3,6546,10796,12400,17,958,28856,0,29814,100.0,10.0,10.0,AREA 0,5,25,1,153.6,153.18,0.3,0.15,sky130_fd_sc_hs,4,4 -40,jpeg_encoder,jpeg_encoder,config_TEST_SW_HS,flow_completed,7h11m39s,4h57m16s,76947.72442822355,3.7888059999999997,15389.544885644711,26,1986.16,58308,0,0,0,0,0,0,15,88,21,-1,-1,5128099,570992,-14.11,-14.11,0.0,0.0,0.0,-20573.37,-20573.37,0.0,0.0,0.0,4234532953,0.0,34.1,30.34,5.74,2.12,0.0,58293,58329,4381,4417,0,0,0,58308,3839,1,2296,5188,7634,15175,5614,4439,6723,6061,37,1156,42046,0,43202,63.37135614702155,15.78,15.78,AREA 0,6,20,1,153.6,153.18,0.25,0.0,sky130_fd_sc_hs,4,4 -41,sound,sound,config_TEST_SW_HS,flow_completed,23h43m18s,8h47m10s,70442.28806499652,11.387194000000001,17610.57201624913,34,6350.41,200535,0,0,0,0,0,0,9,136,0,-1,-1,12005488,2072390,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,8298960128,0.0,30.11,23.65,0.69,0.05,0.0,200500,200617,200500,200617,0,0,0,200535,11691,1896,10906,21307,46996,25037,13741,15776,1102,1650,30,2014,128133,0,130147,7.308338814587444,136.83,136.83,AREA 0,9,25,1,153.6,153.18,0.3,0.0,sky130_fd_sc_hs,4,4 +,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GRT_ADJUSTMENT,STD_CELL_LIBRARY,DIODE_INSERTION_STRATEGY +0,digital_pll_sky130_fd_sc_hd,digital_pll,config_TEST_SW_HS,flow_failed,0h0m36s,-1,2040816.32653061,-0.000001,1000000,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,0,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,59.5238095238095,16.8,15.8,AREA 0,6,49,1,153.6,153.18,0.54,0,sky130_fd_sc_hs,4 +1,inverter,inverter,config_TEST_SW_HS,flow_completed,0h5m55s,0h5m14s,1089.32461873638,0.001836,544.662309368192,4,382.66,1,0,0,0,0,0,0,0,0,0,-1,-1,76,13,0,0,0,0,0,0,0,0,0,0,-1,0,1.13,0.97,0,0,0,2,2,2,2,0,0,0,1,0,0,0,0,0,0,0,0,1,1,1,16,5,0,21,100,10,10,AREA 0,5,50,1,153.6,153.18,0.75,0,sky130_fd_sc_hs,4 +2,manual_macro_placement_test,manual_macro_placement_test,config_TEST_SW_HS,flow_completed,0h6m56s,0h5m12s,56.0092303211569,0.102024,19.6032306124049,1,419.47,2,0,0,0,0,0,0,0,2,0,-1,-1,20078,488,0,0,0,0,0,0,0,0,0,0,-1,0,3.64,4.62,3.39,4.6,0.05,10,72,10,72,0,0,0,2,0,0,0,0,0,0,0,0,-1,-1,-1,180,563,0,743,10,100,100,AREA 0,5,35,1,30,153.18,0.35,0,sky130_fd_sc_hs,0 +3,zipdiv,zipdiv,config_HS_first_rerun,flow_completed,0h7m29s,0h6m41s,63539.1295139257,0.048564,22238.695329874,44,527.65,1080,0,0,0,0,0,0,0,1,0,-1,-1,49545,10065,0,0,0,0,0,0,0,0,0,0,36926298,0,33.03,29.53,1.28,0,0,1052,1148,118,214,0,0,0,1080,46,0,3,43,165,146,14,241,273,273,31,120,463,0,583,52.3834468308015,19.09,19.09,AREA 0,6,35,1,153.6,153.18,0.4,0.15,sky130_fd_sc_hs,4 +4,xtea,xtea,config_HS_first_rerun,flow_completed,0h8m41s,0h6m55s,70333.4293218845,0.098226,24616.7002626596,45,576.07,2418,0,0,0,0,0,0,0,6,0,-1,-1,147446,23652,0,0,0,0,0,0,0,0,0,0,116491155,0,46.4,43.96,2.94,1.25,0,2362,2613,123,374,0,0,0,2418,16,0,54,226,390,436,121,174,468,615,28,176,988,0,1164,38.4172109104879,26.03,26.03,AREA 0,6,35,1,153.6,153.18,0.4,0.15,sky130_fd_sc_hs,4 +5,s44,lut_s44,config_TEST_SW_HS,flow_completed,0h13m26s,0h12m12s,44683.9874700571,0.043416,2234.19937350286,7,415.36,97,0,0,0,0,0,0,0,0,0,-1,-1,6663,1044,0,0,0,0,0,0,0,0,0,0,5279922,0,3.91,3.65,0,0,0,94,114,38,58,0,0,0,97,0,0,0,0,0,0,0,32,41,32,4,114,411,0,525,33.3333333333333,30,30,AREA 0,5,5,1,153.6,153.18,0.5,0,sky130_fd_sc_hs,4 +6,spm,spm,config_TEST_SW_HS,flow_completed,0h16m33s,0h15m31s,42597.4025974026,0.01375,20872.7272727273,54,444,287,0,0,0,0,0,0,0,0,0,-1,-1,9122,2215,0,0,0,0,0,0,0,0,0,0,5730580,0,18.4,16.17,0,0,0,291,322,39,70,0,0,0,287,32,0,31,31,1,63,31,0,97,128,4,58,108,0,166,100,10,10,AREA 0,5,49,1,153.6,153.18,0.54,0,sky130_fd_sc_hs,4 +7,cic_decimator,cic_decimator,config_TEST_SW_HS,flow_completed,0h18m30s,0h16m28s,62959.8189020939,0.031806,25183.9275608376,48,498.91,801,0,0,0,0,0,0,0,0,0,-1,-1,30591,7001,0,0,0,0,0,0,0,0,0,0,19857159,0,28.08,22.4,0.21,0,0,790,823,119,152,0,0,0,801,15,0,21,51,90,92,51,220,131,112,16,94,269,0,363,100,10,10,AREA 0,6,40,1,15,15,0.45,0,sky130_fd_sc_hs,4 +8,usb,usb,config_TEST_SW_HS,flow_completed,0h19m58s,0h17m34s,50597.2531192572,0.041356,20238.9012477029,46,492.91,837,0,0,0,0,0,0,0,0,0,-1,-1,31610,7220,0,0,0,0,0,0,0,0,0,0,19723971,0,20.67,18.76,0,0,0,836,860,200,224,0,0,0,837,22,8,43,40,206,49,6,176,242,251,12,110,370,0,480,79.6812749003984,12.55,12.55,AREA 0,6,40,1,153.6,153.18,0.45,0,sky130_fd_sc_hs,4 +9,usb_cdc_core,usb_cdc_core,config_TEST_SW_HS,flow_completed,0h36m47s,0h31m18s,63659.1616832474,0.072574,28646.6227574613,51,555.52,2079,0,0,0,0,0,0,0,1,0,-1,-1,78605,16243,0,0,0,0,0,0,0,0,0,0,52298687,0,30.02,25.69,0.87,0,0,2075,2106,314,345,0,0,0,2079,99,0,110,89,676,130,41,252,365,683,19,150,693,0,843,64.1025641025641,15.6,15.6,AREA 0,6,45,1,153.6,153.18,0.5,0,sky130_fd_sc_hs,4 +10,APU,APU,config_TEST_SW_HS,flow_completed,0h41m20s,0h29m9s,64099.1123463796,0.137956,22434.6893212329,44,575.89,3095,0,0,0,0,0,0,0,1,0,-1,-1,146362,28916,0,0,0,0,0,0,0,0,0,0,94677735,0,28.89,25.77,0.06,0,0,3068,3127,380,439,0,0,0,3095,86,28,103,170,344,251,52,709,683,867,26,212,1404,0,1616,58.8235294117647,17,17,AREA 0,6,35,1,153.6,153.18,0.4,0,sky130_fd_sc_hs,4 +11,wbqspiflash,wbqspiflash,config_TEST_SW_HS,flow_completed,0h53m51s,0h43m37s,69700.3947777942,0.122094,20910.1184333382,41,572.12,2553,0,0,0,0,0,0,0,2,0,-1,-1,146343,25031,0,0,0,0,0,0,0,0,0,0,106634042,0,33.93,27.36,0.55,0.14,0,2526,2614,264,352,0,0,0,2553,55,1,147,155,796,79,6,376,656,885,20,198,1212,0,1410,53.0222693531283,18.86,18.86,AREA 0,6,30,1,153.6,153.18,0.35,0,sky130_fd_sc_hs,4 +12,synth_ram,synth_ram,config_TEST_SW_HS,flow_completed,1h11m43s,0h40m44s,51091.5147952185,0.2835,22991.1816578483,56,670.1,6518,0,0,0,0,0,0,0,3,0,-1,-1,456582,70287,0,0,0,0,0,0,0,0,0,0,250011549,0,45.76,35.41,1.71,0.77,0,6492,6578,2054,2140,0,0,0,6518,8,0,2,1,81,0,0,2080,2155,2080,8,308,2964,0,3272,20,50,50,AREA 0,5,45,1,153.6,153.18,0.5,0,sky130_fd_sc_hs,4 +13,point_scalar_mult,point_scalar_mult,config_HS_first_rerun,flow_completed,3h13m43s,1h7m53s,75755.5811168761,3.646134,15151.1162233752,27,1918.27,55243,0,0,0,0,0,0,946,75,0,-1,-1,4846268,518607,-21.64,-21.64,-0.33,-3.15,0,-46555.95,-46555.95,-6.32,-6.32,0,4051500631,0,36.83,32.87,5.98,0.17,0,54863,55785,7456,8378,0,0,0,55243,253,1149,788,3213,18054,582,0,8651,12661,13726,17,1134,40677,0,41811,100,10,10,AREA 0,5,20,1,153.6,153.18,0.25,0.05,sky130_fd_sc_hs,4 +14,y_dct,y_dct,config_HS_first_rerun,flow_completed,5h41m7s,1h27m11s,63922.1089372646,8.16525,9588.31634058969,20,3662.27,78291,0,0,0,0,0,0,48,113,0,-1,-1,7407274,631109,0,0,0,0,0,0,0,0,0,0,6689631501,0,25.46,21.61,0.96,0.11,0,100762,101409,4703,5350,0,0,0,78291,3238,72,1227,3318,3941,3531,161,10172,31779,27586,20,1704,91372,0,93076,48.7329434697856,20.52,20.52,AREA 0,5,15,1,153.6,153.18,0.2,0,sky130_fd_sc_hs,4 +15,ocs_blitter,ocs_blitter,config_TEST_SW_HS,flow_completed,1h28m5s,0h53m8s,65731.1225026684,0.304096,23005.8928759339,49,694.32,6996,0,0,0,0,0,0,0,6,0,-1,-1,458272,74664,-18.79,-18.79,0,-1.3,0,-2661.43,-2661.43,0,0,0,276392798,0,40.98,35.34,1.62,0.09,0,6944,7088,439,583,0,0,0,6996,164,0,189,595,1116,745,127,471,962,1734,27,318,3218,0,3536,54.9450549450549,18.2,18.2,AREA 0,6,35,1,153.6,153.18,0.4,0,sky130_fd_sc_hs,4 +16,md5,md5,config_TEST_SW_HS,flow_completed,1h36m27s,1h9m24s,60802.4865240643,0.268786,21280.8702834225,47,668.08,5720,0,0,0,0,0,0,0,6,0,-1,-1,415257,60337,0,0,0,0,0,0,0,0,0,0,297487632,0,41.35,33.27,5.24,1.47,0.44,5598,5852,1175,1429,0,0,0,5720,80,0,137,240,1477,396,213,650,1208,1399,38,300,2812,0,3112,26.9905533063428,37.05,37.05,AREA 0,6,35,1,153.6,153.18,0.4,0,sky130_fd_sc_hs,4 +17,ldpc_decoder_802_3an,ldpc_decoder_802_3an,config_TEST_SW_HS,flow_failed,1h52m10s,-1,-922790000000,-0.000001,-92279000000,18,-1,92279,-1,-1,-1,-1,-1,-1,-1,-1,0,-1,-1,-1,-1,-24.93,-24.93,-24.93,-24.93,-24.93,-51051.49,-51051.49,-51051.49,-51051.49,-51051.49,34340189885,-1,-1,-1,-1,-1,-1,90236,94330,2054,6148,0,0,0,92279,0,0,32,0,6519,19649,4544,2048,4098,6146,22,1980,123496,0,125476,9.81065437064652,101.93,77,DELAY 0,6,10,1,153.6,153.18,0.15,0,sky130_fd_sc_hs,4 +18,151,riscv_top,config_HS_first_rerun,flow_completed,6h18m4s,2h21m6s,51387.2247584413,7.120836,10277.4449516883,27,3504.43,73184,0,0,0,0,0,0,11,120,0,-1,-1,6881756,743020,-179.09,-179.09,0,0,0,-2901186.25,-2901186.25,0,0,0,5095497474,0,25.87,22.71,1.37,0.83,0,72987,73322,23324,23659,0,0,0,73184,109,2216,286,1088,3839,426,120,20245,24812,25565,22,1590,79694,0,81284,20,50,50,DELAY 1,5,20,1,153.6,153.18,0.25,0,sky130_fd_sc_hs,4 +19,PPU,PPU,config_TEST_SW_HS,flow_completed,2h7m20s,0h55m43s,53353.7104615965,0.880726,10670.7420923193,28,790.63,9398,0,0,0,0,0,0,0,10,0,-1,-1,750221,104523,-10.16,-10.16,0,0,0,-1844.88,-1844.88,0,0,0,486061374,0,27.23,19.94,0.37,0.09,0,9339,9422,2898,2981,0,0,0,9398,53,8,55,72,460,113,21,2942,3193,3308,15,552,9589,0,10141,55.5555555555556,18,18,AREA 0,8,20,1,153.6,153.18,0.25,0.05,sky130_fd_sc_hs,4 +20,genericfir,genericfir,config_TEST_SW_HS,flow_completed,2h41m41s,2h8m50s,49309.7416794039,0.427006,22189.3837557318,50,720.74,9475,0,0,0,0,0,0,0,3,0,-1,-1,398743,86811,0,0,0,0,0,0,0,0,0,0,255052655,0,30.61,24.87,0.29,0.09,0,12499,12551,6835,6887,0,0,0,9475,0,0,2001,3390,4008,3000,750,7560,3782,3780,6,380,4512,0,4892,100,10,10,AREA 0,7,45,1,153.6,153.18,0.5,0.1,sky130_fd_sc_hs,4 +21,BM64,BM64,config_TEST_SW_HS,flow_completed,2h56m31s,1h34m42s,66654.0200986055,0.706384,11997.723617749,27,770.57,8475,0,0,0,0,0,0,0,19,0,-1,-1,1117332,98915,0,0,0,0,0,0,0,0,0,0,993562777,0,39.9,38.35,3.26,0.62,0,7969,8990,785,1806,0,0,0,8475,26,0,12,341,2174,989,307,2573,1801,1293,33,492,7684,0,8176,50,20,20,AREA 0,6,18,1,153.6,153.18,0.23,0,sky130_fd_sc_hs,4 +22,y_huff,y_huff,config_TEST_SW_HS,flow_completed,3h11m0s,2h6m22s,60858.3469510622,0.58135,18257.5040853187,40,801.75,10614,0,0,0,0,0,0,4,13,0,-1,-1,986233,114787,-1.08,-1.08,0,0,0,-1336.91,-1336.91,0,0,0,818611577,0,52.13,48.03,7.63,1.1,0,10646,11321,2383,3058,0,0,0,10614,237,0,146,384,1310,575,87,4679,4830,3153,16,446,6298,0,6744,88.1057268722467,11.35,11.35,AREA 0,6,30,1,153.6,153.18,0.35,0.15,sky130_fd_sc_hs,4 +23,des,des,config_TEST_SW_HS,flow_completed,3h12m14s,2h15m6s,73760.6133660625,0.618526,25816.2146781219,40,845.79,15968,0,0,0,0,0,0,2,21,0,-1,-1,772248,134391,0,0,0,0,0,0,0,0,0,0,626055829,0,38.27,32.83,4.39,0.43,0,15909,16090,1957,2138,0,0,0,15968,432,1984,48,448,12688,1792,256,768,1792,512,13,460,6610,0,7070,50,20,20,AREA 0,6,35,1,153.6,153.18,0.4,0.1,sky130_fd_sc_hs,4 +24,picorv32a,picorv32a,config_TEST_SW_HS,flow_completed,3h18m57s,2h8m39s,70840.8453451996,0.582876,24794.2958708199,47,869.55,14452,0,0,0,0,0,0,0,8,0,-1,-1,1118361,149464,0,0,0,0,0,0,0,0,0,0,785596635,0,54.06,47.45,13.16,6.03,0,14172,14554,1565,1947,0,0,0,14452,1159,227,896,560,2391,2462,615,1664,2132,2900,134,446,6298,0,6744,40.4367165386171,24.73,24.73,AREA 0,6,35,1,153.6,153.18,0.4,0.1,sky130_fd_sc_hs,4 +25,chacha,chacha,config_TEST_SW_HS,flow_completed,3h33m32s,1h57m26s,73441.8561220815,0.899596,18360.4640305204,36,955.29,16517,0,0,0,0,0,0,0,11,0,-1,-1,1585656,175128,-8.85,-8.85,0,0,0,-2412.74,-2412.74,0,0,0,1302910180,0,46.98,44.39,16,5.49,0,16492,16561,2389,2458,0,0,0,16517,605,5,604,825,810,2011,971,3036,3035,3673,57,558,9833,0,10391,38.4467512495194,26.01,26.01,AREA 0,6,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_hs,4 +26,usbf_device,usbf_device,config_TEST_SW_HS,flow_completed,3h53m19s,3h8m52s,63137.7101379413,0.609897,25255.0840551765,48,874.93,15403,0,0,0,0,0,0,0,5,0,-1,-1,939257,146158,0,0,0,0,0,0,0,0,0,0,656374352,0,39.89,36.62,2.27,0.76,0,15378,15524,3775,3921,0,0,0,15403,675,0,362,157,4505,280,61,3692,4004,4615,14,456,6553,0,7009,68.4931506849315,14.6,14.6,AREA 0,6,40,1,153.6,153.18,0.45,0,sky130_fd_sc_hs,4 +27,ldpcenc,ldpcenc,config_TEST_SW_HS,flow_completed,3h53m37s,2h13m50s,65395.0852417894,1.07635,16348.7713104473,38,1029.18,17597,0,0,0,0,0,0,0,64,0,-1,-1,1823802,207324,-33.26,-33.26,0,-0.66,0,-22744.2,-22744.2,0,0,0,1479233557,0,41.66,38.5,7.74,1.77,0,17578,17633,1527,1582,0,0,0,17597,103,94,990,79,2098,1958,84,1265,2487,2565,13,610,11664,0,12274,77.5193798449612,12.9,12.9,AREA 0,6,25,1,153.6,153.18,0.3,0,sky130_fd_sc_hs,4 +28,sha3,sha3,config_TEST_SW_HS,flow_completed,3h56m13s,3h13m38s,65164.2226107266,0.628785,22807.4779137543,45,884.91,14341,0,0,0,0,0,0,0,13,0,-1,-1,973196,147618,-2.06,-2.06,-1.24,-4.52,0,-60.62,-60.62,-66.37,-66.37,0,630279903,0,44.88,39.91,7.08,3.82,0.25,14316,14385,3031,3100,0,0,0,14341,911,0,893,238,878,848,435,3088,3162,3709,23,464,6784,0,7248,78.6782061369001,12.71,12.71,AREA 0,6,35,1,153.6,153.18,0.4,0.1,sky130_fd_sc_hs,4 +29,blabla,blabla,config_TEST_SW_HS,flow_completed,4h5m58s,1h53m58s,77823.5213743108,1.268946,10117.0577786604,22,935.21,12838,0,0,0,0,0,0,0,41,0,-1,-1,2377113,152135,0,0,0,0,0,0,0,0,0,0,2185686505,0,48.92,49.82,14.93,4.89,0,12337,13744,1105,2512,0,0,0,12838,654,5,575,813,507,2076,906,2190,2894,2059,58,664,13859,0,14523,15.3846153846154,65,65,AREA 0,5,13,1,153.6,153.18,0.18,0.1,sky130_fd_sc_hs,4 +30,aes_cipher,aes_cipher,config_TEST_SW_HS,flow_completed,4h11m32s,3h27m45s,87607.9311634867,0.66825,21901.9827908717,36,915.18,14636,0,0,0,0,0,0,75,6,0,-1,-1,1267730,166675,0,0,0,0,0,0,0,0,0,0,1050991121,0,46.83,43,7.66,2.01,0,14516,14897,411,792,0,0,0,14636,461,386,73,357,18246,848,301,148,659,660,18,478,7228,0,7706,99.1080277502478,10.09,10.09,AREA 0,5,25,1,153.6,153.18,0.3,0,sky130_fd_sc_hs,4 +31,aes,aes,config_TEST_SW_HS,flow_completed,4h29m28s,3h5m57s,63387.4512145591,1.487124,12677.4902429118,29,1120.23,18853,0,0,0,0,0,0,0,27,0,-1,-1,1788919,222940,-0.16,-0.16,0,0,0,-423.86,-423.86,0,0,0,1309171269,0,33.54,26.77,2.09,0.23,0.2,18828,18897,3136,3205,0,0,0,18853,219,0,88,346,8956,2179,691,3103,4034,4222,18,720,16288,0,17008,38.6100386100386,25.9,25.9,AREA 0,8,20,1,153.6,153.18,0.25,0,sky130_fd_sc_hs,4 +32,aes_core,aes_core,config_TEST_SW_HS,flow_completed,4h31m51s,3h21m8s,66708.1898031041,1.101394,16677.047450776,38,1080.71,18368,0,0,0,0,0,0,0,32,0,-1,-1,2039979,230309,0,0,0,0,0,0,0,0,0,0,1614988012,0,47.29,40.6,9.74,1.05,0,18249,18758,2490,2999,0,0,0,18368,303,0,175,343,8961,2035,834,2717,3710,3696,19,618,11971,0,12589,46.9924812030075,21.28,21.28,AREA 0,6,25,1,153.6,153.18,0.3,0,sky130_fd_sc_hs,4 +33,sha512,sha512,config_TEST_SW_HS,flow_completed,4h33m45s,2h37m9s,63354.7982487593,1.511614,15838.6995621898,37,1219.99,23942,0,0,0,0,0,0,0,37,0,-1,-1,2378232,285269,-33.84,-33.84,0,0,0,-34419.98,-34419.98,0,0,0,1707843573,0,43.15,44.48,5.44,3.92,0,23917,23986,5703,5772,0,0,0,23942,624,0,429,1853,4118,1682,1301,3667,6372,7289,43,726,16603,0,17329,25.4647313470843,39.27,39.27,AREA 0,10,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_hs,4 +34,salsa20,salsa20,config_TEST_SW_HS,flow_completed,4h34m47s,3h22m32s,66309.7054495087,1.478592,13261.9410899017,29,1087.31,19609,0,0,0,0,0,0,2,41,0,-1,-1,2223446,220131,0,0,0,0,0,0,0,0,0,0,1884297670,0,39.36,32.93,6.6,0.96,0,19584,19653,4073,4142,0,0,0,19609,518,5,615,755,1608,2268,769,7341,5004,4742,60,718,16241,0,16959,27.2257010618023,36.73,36.73,AREA 0,6,20,1,153.6,153.18,0.25,0,sky130_fd_sc_hs,4 +35,aes128,aes128,config_TEST_SW_HS,flow_completed,4h54m45s,3h0m27s,68252.0937637748,2.431984,17063.0234409437,30,1412.33,41497,0,0,0,0,0,0,317,52,0,-1,-1,2597497,332924,0,0,0,0,0,0,0,0,0,0,2293628181,0,34.59,26.32,5.53,0.07,0,41373,41754,10452,10833,0,0,0,41497,0,5568,65,1223,1198,17800,6545,800,6496,6736,29,924,26908,0,27832,87.4890638670166,11.43,11.43,AREA 0,7,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_hs,4 +36,aes192,aes192,config_TEST_SW_HS,flow_completed,5h38m19s,3h37m1s,64932.2909395505,3.5815,12986.4581879101,25,1736.87,46511,0,0,0,0,0,0,720,72,0,-1,-1,3405410,378950,0,0,0,0,0,0,0,0,0,0,3044031058,0,30.75,24.23,3.9,0.13,0,46387,46832,13036,13481,0,0,0,46511,14,7968,55,1831,1158,19939,7390,684,7660,6689,31,1124,39758,0,40882,80.8407437348424,12.37,12.37,AREA 0,9,20,1,153.6,153.18,0.25,0.1,sky130_fd_sc_hs,4 +37,aes256,aes256,config_TEST_SW_HS,flow_completed,5h54m50s,3h49m15s,64481.4057753721,3.113404,19344.4217326116,36,1789.38,60227,0,0,0,0,0,0,657,93,0,-1,-1,4028307,478989,0,0,0,0,0,0,0,0,0,0,3532346146,0,31.59,37.6,1.85,1.38,0,60103,60612,17643,18152,0,0,0,60227,0,11072,77,1733,1656,24387,9321,1104,9232,9031,29,1048,34710,0,35758,66.6666666666667,15,15,AREA 0,9,30,1,153.6,153.18,0.35,0.05,sky130_fd_sc_hs,4 +38,des3,des3,config_TEST_SW_HS,flow_completed,6h14m46s,4h42m31s,70663.7525970643,3.558826,14132.7505194129,24,1790.59,50296,0,0,0,0,0,0,1012,65,0,-1,-1,2847695,431599,-12.35,-12.35,-9.54,-12.61,-8.35,-19384.8,-19384.8,-6670.48,-6670.48,-5608.64,2273959018,0,24.28,21.91,1.91,0.6,0,50239,50530,8959,9250,0,0,0,50296,1344,8808,144,1344,38112,5376,768,2416,5376,1536,14,1120,39615,0,40735,62.7352572145546,15.94,7.59,AREA 0,7,20,1,153.6,153.18,0.25,0.1,sky130_fd_sc_hs,4 +39,point_add,point_add,config_TEST_SW_HS,flow_completed,6h18m24s,4h32m54s,77397.1533278021,2.613016,19349.2883319505,34,1708.94,50560,0,0,0,0,0,0,1576,56,0,-1,-1,4546028,489989,-21.64,-21.64,0,-1.28,0,-45871.29,-45871.29,0,0,0,3458780408,0,48.08,42.66,16.2,17.5,0.66,50182,51340,6131,7289,0,0,0,50560,857,1149,640,3041,18519,579,3,6546,10796,12400,17,958,28856,0,29814,100,10,10,AREA 0,5,25,1,153.6,153.18,0.3,0.15,sky130_fd_sc_hs,4 +40,jpeg_encoder,jpeg_encoder,config_TEST_SW_HS,flow_completed,7h11m39s,4h57m16s,76947.7244282236,3.788806,15389.5448856447,26,1986.16,58308,0,0,0,0,0,0,15,88,21,-1,-1,5128099,570992,-14.11,-14.11,0,0,0,-20573.37,-20573.37,0,0,0,4234532953,0,34.1,30.34,5.74,2.12,0,58293,58329,4381,4417,0,0,0,58308,3839,1,2296,5188,7634,15175,5614,4439,6723,6061,37,1156,42046,0,43202,63.3713561470216,15.78,15.78,AREA 0,6,20,1,153.6,153.18,0.25,0,sky130_fd_sc_hs,4 +41,sound,sound,config_TEST_SW_HS,flow_completed,23h43m18s,8h47m10s,70442.2880649965,11.387194,17610.5720162491,34,6350.41,200535,0,0,0,0,0,0,9,136,0,-1,-1,12005488,2072390,0,0,0,0,0,0,0,0,0,0,8298960128,0,30.11,23.65,0.69,0.05,0,200500,200617,200500,200617,0,0,0,200535,11691,1896,10906,21307,46996,25037,13741,15776,1102,1650,30,2014,128133,0,130147,7.30833881458744,136.83,136.83,AREA 0,9,25,1,153.6,153.18,0.3,0,sky130_fd_sc_hs,4 diff --git a/regression_results/benchmark_results/SW_LS.csv b/regression_results/benchmark_results/SW_LS.csv index 38a9b78f5..d3107e963 100644 --- a/regression_results/benchmark_results/SW_LS.csv +++ b/regression_results/benchmark_results/SW_LS.csv @@ -1,43 +1,43 @@ -,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GRT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY -0,digital_pll_sky130_fd_sc_hd,digital_pll,config_TEST_SW_LS,flow_failed,0h0m42s,-1,2040816.3265306123,-1e-06,1000000.0,-1,-1.0,-1,-1,-1,-1,-1,-1,-1,-1,-1,0,-1,-1,-1,-1,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,-1,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,59.52380952380952,16.8,15.8,AREA 0,6,49,1,153.6,153.18,0.54,0.0,sky130_fd_sc_ls,4,4 -1,inverter,inverter,config_TEST_SW_LS,flow_completed,0h6m56s,0h6m15s,1089.3246187363834,0.001836,544.6623093681917,4,380.54,1,0,0,0,0,0,0,0,0,0,0,-1,75,13,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,-1,0.0,1.13,1.21,0.0,0.0,0.0,2,2,2,2,0,0,0,1,0,0,0,0,0,0,0,0,1,1,1,16,5,0,21,100.0,10.0,10.0,AREA 0,5,50,1,153.6,153.18,0.75,0.0,sky130_fd_sc_ls,4,4 -2,manual_macro_placement_test,manual_macro_placement_test,config_TEST_SW_LS,flow_completed,0h8m56s,0h6m45s,56.009230321156934,0.102024,19.603230612404925,1,420.12,2,0,0,0,0,0,0,0,2,0,-1,-1,20081,498,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,-1,0.0,3.64,4.62,3.38,4.6,0.05,10,72,10,72,0,0,0,2,0,0,0,0,0,0,0,0,-1,-1,-1,180,563,0,743,10.0,100.0,100.0,AREA 0,5,35,1,30.0,153.18,0.35,0.0,sky130_fd_sc_ls,0,0 -3,cic_decimator,cic_decimator,config_ls_first_rerun,flow_completed,0h7m39s,0h7m13s,62959.818902093946,0.031806,25183.92756083758,48,498.89,801,0,0,0,0,0,0,0,0,0,0,-1,31136,7163,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,20226572,0.0,27.83,23.16,0.04,0.0,0.0,790,823,119,152,0,0,0,801,15,0,21,51,90,92,51,220,131,112,16,94,269,0,363,100.0,10.0,10.0,AREA 0,6,40,1,15.0,15.0,0.45,0.0,sky130_fd_sc_ls,4,4 -4,wbqspiflash,wbqspiflash,config_ls_first_rerun,flow_completed,0h11m17s,0h9m54s,69100.19528316059,0.10556099999999999,24185.068349106205,47,584.73,2553,0,0,0,0,0,0,0,2,0,0,-1,151457,25406,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,99369767,0.0,40.11,32.14,1.27,0.23,0.0,2526,2614,264,352,0,0,0,2553,55,1,147,155,796,79,6,376,656,885,21,184,1079,0,1263,53.022269353128316,18.86,18.86,AREA 0,6,35,1,153.6,153.18,0.4,0.0,sky130_fd_sc_ls,4,4 -4,xtea,xtea,config_ls_second_rerun,flow_completed,0h10m5s,0h8m17s,70333.42932188454,0.098226,24616.700262659586,45,586.81,2418,0,0,0,0,0,0,0,3,0,0,-1,148019,23636,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,116283445,0.0,47.0,43.67,2.85,0.89,0.0,2362,2613,123,374,0,0,0,2418,16,0,54,226,390,436,121,174,468,615,28,176,988,0,1164,38.417210910487896,26.03,26.03,AREA 0,6,35,1,153.6,153.18,0.4,0.15,sky130_fd_sc_ls,4,4 -6,s44,lut_s44,config_TEST_SW_LS,flow_completed,0h21m5s,0h19m32s,44683.98747005712,0.043416,2234.1993735028564,7,415.36,97,0,0,0,0,0,0,0,0,0,0,-1,6676,1043,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,5279922,0.0,3.91,3.65,0.0,0.0,0.0,94,114,38,58,0,0,0,97,0,0,0,0,0,0,0,32,41,32,4,114,411,0,525,33.333333333333336,30.0,30.0,AREA 0,5,5,1,153.6,153.18,0.5,0.0,sky130_fd_sc_ls,4,4 -7,spm,spm,config_TEST_SW_LS,flow_completed,0h22m41s,0h21m30s,42597.40259740259,0.01375,20872.727272727272,54,442.45,287,0,0,0,0,0,0,0,0,0,0,-1,9112,2196,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,5730580,0.0,18.05,16.17,0.0,0.0,0.0,291,322,39,70,0,0,0,287,32,0,31,31,1,63,31,0,97,128,4,58,108,0,166,100.0,10.0,10.0,AREA 0,5,49,1,153.6,153.18,0.54,0.0,sky130_fd_sc_ls,4,4 -8,y_huff,y_huff,config_ls_first_rerun,flow_completed,0h31m5s,0h21m29s,60590.83773369488,0.5005,21206.79320679321,51,815.16,10614,0,0,0,0,0,0,0,13,0,0,-1,1025203,125431,-5.32,-5.32,-5.11,-7.91,-3.78,-7300.75,-7300.75,-3686.48,-3686.48,-2058.48,760865184,0.0,58.65,55.02,14.41,8.17,0.0,10646,11321,2383,3058,0,0,0,10614,237,0,146,384,1310,575,87,4679,4830,3153,16,412,5304,0,5716,66.09385327164574,15.129999999999999,11.35,AREA 0,6,35,1,153.6,153.18,0.4,0.15,sky130_fd_sc_ls,4,4 -9,usb,usb,config_TEST_SW_LS,flow_completed,0h27m12s,0h24m44s,50657.703839829774,0.041356,20263.08153593191,45,495.16,838,0,0,0,0,0,0,0,0,0,0,-1,31071,7088,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,19627152,0.0,20.34,18.34,0.09,0.0,0.0,837,861,200,224,0,0,0,838,22,8,43,40,206,49,6,176,242,251,12,110,370,0,480,79.6812749003984,12.55,12.55,AREA 0,6,40,1,153.6,153.18,0.45,0.0,sky130_fd_sc_ls,4,4 -10,zipdiv,zipdiv,config_TEST_SW_LS,flow_completed,0h29m10s,0h26m13s,62790.69767441861,0.043,25116.279069767446,50,520.77,1080,0,0,0,0,0,0,0,0,0,0,-1,49798,10061,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,34898285,0.0,37.92,33.62,1.52,0.0,0.0,1052,1148,118,214,0,0,0,1080,46,0,3,43,165,146,14,241,273,273,31,112,404,0,516,52.38344683080147,19.09,19.09,AREA 0,6,40,1,153.6,153.18,0.45,0.15,sky130_fd_sc_ls,4,4 -11,usb_cdc_core,usb_cdc_core,config_TEST_SW_LS,flow_completed,0h38m4s,0h31m50s,63689.781770637186,0.072574,28660.401796786733,51,547.68,2080,0,0,0,0,0,0,0,3,0,0,-1,79282,16356,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,52246240,0.0,30.38,26.08,0.36,0.0,0.0,2076,2107,314,345,0,0,0,2080,99,0,110,89,676,130,41,252,365,683,19,150,693,0,843,64.1025641025641,15.6,15.6,AREA 0,6,45,1,153.6,153.18,0.5,0.0,sky130_fd_sc_ls,4,4 -12,APU,APU,config_TEST_SW_LS,flow_completed,0h50m45s,0h36m41s,64099.112346379596,0.137956,22434.689321232858,44,575.71,3095,0,0,0,0,0,0,0,2,0,0,-1,147078,28982,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,93970224,0.0,29.0,25.77,0.07,0.0,0.0,3068,3127,380,439,0,0,0,3095,86,28,103,170,344,251,52,709,683,867,26,212,1404,0,1616,58.8235294117647,17.0,17.0,AREA 0,6,35,1,153.6,153.18,0.4,0.0,sky130_fd_sc_ls,4,4 -13,synth_ram,synth_ram,config_TEST_SW_LS,flow_completed,1h27m5s,0h49m36s,51091.51479521851,0.2835,22991.18165784833,56,661.34,6518,0,0,0,0,0,0,0,9,0,0,-1,466775,70936,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,250943518,0.0,46.66,36.32,1.95,0.56,0.0,6492,6578,2054,2140,0,0,0,6518,8,0,2,1,81,0,0,2080,2155,2080,8,308,2964,0,3272,20.0,50.0,50.0,AREA 0,5,45,1,153.6,153.18,0.5,0.0,sky130_fd_sc_ls,4,4 -14,ocs_blitter,ocs_blitter,config_TEST_SW_LS,flow_completed,1h50m48s,1h9m7s,65731.12250266835,0.304096,23005.89287593392,49,701.21,6996,0,0,0,0,0,0,0,5,0,0,-1,465255,75484,-33.81,-33.81,-6.51,-9.67,-6.1,-5887.58,-5887.58,-132.95,-132.95,-118.28,275266344,0.0,42.03,35.69,1.23,0.22,0.0,6944,7088,439,583,0,0,0,6996,164,0,189,595,1116,745,127,471,962,1734,27,318,3218,0,3536,41.1522633744856,24.299999999999997,18.2,AREA 0,6,35,1,153.6,153.18,0.4,0.0,sky130_fd_sc_ls,4,4 -15,md5,md5,config_TEST_SW_LS,flow_completed,1h52m25s,1h17m25s,60813.11632940067,0.268786,21284.590715290233,47,671.64,5721,0,0,0,0,0,0,0,4,0,0,-1,412325,60225,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,297365406,0.0,41.71,33.22,4.24,1.54,0.0,5599,5853,1175,1429,0,0,0,5721,80,0,137,240,1477,396,213,650,1208,1399,38,300,2812,0,3112,26.990553306342782,37.05,37.05,AREA 0,6,35,1,153.6,153.18,0.4,0.0,sky130_fd_sc_ls,4,4 -16,PPU,PPU,config_TEST_SW_LS,flow_completed,2h43m12s,1h10m18s,53353.710461596456,0.880726,10670.742092319291,28,795.14,9398,0,0,0,0,0,0,0,17,0,0,-1,763760,105403,-20.93,-20.93,0.0,-1.71,0.0,-5037.73,-5037.73,0.0,0.0,0.0,491276864,0.0,27.33,20.62,0.37,0.09,0.0,9339,9422,2898,2981,0,0,0,9398,53,8,55,72,460,113,21,2942,3193,3308,15,552,9589,0,10141,55.55555555555556,18.0,18.0,AREA 0,8,20,1,153.6,153.18,0.25,0.05,sky130_fd_sc_ls,4,4 -17,genericfir,genericfir,config_TEST_SW_LS,flow_completed,3h4m35s,2h26m49s,49309.74167940392,0.42700600000000005,22189.383755731767,50,715.54,9475,0,0,0,0,0,0,0,1,0,0,-1,405850,87048,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,253238877,0.0,30.38,25.85,0.24,0.02,0.0,12499,12551,6835,6887,0,0,0,9475,0,0,2001,3390,4008,3000,750,7560,3782,3780,6,380,4512,0,4892,100.0,10.0,10.0,AREA 0,7,45,1,153.6,153.18,0.5,0.1,sky130_fd_sc_ls,4,4 -18,BM64,BM64,config_TEST_SW_LS,flow_completed,3h14m46s,1h40m24s,66661.88487973806,0.706384,11999.13927835285,28,785.94,8476,0,0,0,0,0,0,0,22,0,0,-1,1130217,101322,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,998818790,0.0,39.82,38.72,4.32,0.36,0.0,7970,8991,785,1806,0,0,0,8476,26,0,12,341,2174,989,307,2573,1801,1293,34,492,7684,0,8176,50.0,20.0,20.0,AREA 0,6,18,1,153.6,153.18,0.23,0.0,sky130_fd_sc_ls,4,4 -19,des,des,config_TEST_SW_LS,flow_completed,3h32m47s,2h39m17s,73760.61336606245,0.618526,25816.214678121854,40,854.71,15968,0,0,0,0,0,0,0,21,0,0,-1,774799,135009,0.0,0.0,-3.28,-7.28,-1.23,0.0,0.0,-116.46,-116.46,-18.71,626592590,0.0,38.06,33.04,4.8,0.58,0.0,15909,16090,1957,2138,0,0,0,15968,432,1984,48,448,12688,1792,256,768,1792,512,13,460,6610,0,7070,47.103155911446066,21.23,20.0,AREA 0,6,35,1,153.6,153.18,0.4,0.1,sky130_fd_sc_ls,4,4 -20,picorv32a,picorv32a,config_TEST_SW_LS,flow_completed,3h34m18s,2h26m20s,70840.84534519963,0.5828760000000001,24794.295870819868,47,877.34,14452,0,0,0,0,0,0,0,13,0,0,-1,1110638,149802,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,778729960,0.0,54.82,46.5,14.18,3.58,0.0,14172,14554,1565,1947,0,0,0,14452,1159,227,896,560,2391,2462,615,1664,2132,2900,134,446,6298,0,6744,40.43671653861706,24.73,24.73,AREA 0,6,35,1,153.6,153.18,0.4,0.1,sky130_fd_sc_ls,4,4 -21,usbf_device,usbf_device,config_TEST_SW_LS,flow_completed,3h54m28s,3h6m53s,62938.10589112603,0.54385,28322.147651006715,54,884.18,15403,0,0,0,0,0,0,0,15,0,0,-1,1150849,157393,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,622942032,0.0,50.64,50.53,5.08,5.27,0.0,15378,15524,3775,3921,0,0,0,15403,675,0,362,157,4505,280,61,3692,4004,4615,14,430,5857,0,6287,68.4931506849315,14.6,14.6,AREA 0,6,45,1,153.6,153.18,0.5,0.0,sky130_fd_sc_ls,4,4 -22,chacha,chacha,config_TEST_SW_LS,flow_completed,3h58m44s,2h32m27s,73441.85612208147,0.899596,18360.46403052037,37,967.33,16517,0,0,0,0,0,0,0,32,0,0,-1,1603897,179383,-24.41,-24.41,-5.4,-10.26,-5.37,-9415.42,-9415.42,-805.44,-805.44,-765.99,1310766065,0.0,48.39,44.31,15.69,6.11,0.0,16492,16561,2389,2458,0,0,0,16517,605,5,604,825,810,2011,971,3036,3035,3673,57,558,9833,0,10391,31.867431485022305,31.380000000000003,26.01,AREA 0,6,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_ls,4,4 -23,sha3,sha3,config_TEST_SW_LS,flow_completed,4h13m22s,3h31m9s,65164.22261072658,0.628785,22807.4779137543,45,889.39,14341,0,0,0,0,0,0,0,9,0,0,-1,995752,149259,-6.02,-6.02,-6.08,-10.78,-3.81,-9055.87,-9055.87,-1084.5,-1084.5,-563.87,634099099,0.0,46.68,40.8,5.53,4.69,0.9,14316,14385,3031,3100,0,0,0,14341,911,0,893,238,878,848,435,3088,3162,3709,23,464,6784,0,7248,60.53268765133172,16.52,12.71,AREA 0,6,35,1,153.6,153.18,0.4,0.1,sky130_fd_sc_ls,4,4 -24,ldpcenc,ldpcenc,config_TEST_SW_LS,flow_completed,4h19m58s,2h57m20s,65406.23403168115,1.07635,16351.558507920288,38,1027.26,17600,0,0,0,0,0,0,0,38,0,0,-1,1823750,207378,-52.63,-52.63,-4.2,-5.88,-4.25,-41625.77,-41625.77,-464.3,-464.3,-213.69,1480195009,0.0,41.04,38.42,8.42,2.14,0.0,17581,17636,1527,1582,0,0,0,17600,103,94,990,79,2098,1958,84,1265,2487,2565,13,610,11664,0,12274,58.30903790087464,17.15,12.9,AREA 0,6,25,1,153.6,153.18,0.3,0.0,sky130_fd_sc_ls,4,4 -25,blabla,blabla,config_TEST_SW_LS,flow_completed,4h22m35s,2h4m48s,77823.52137431077,1.268946,10117.0577786604,22,966.26,12838,0,0,0,0,0,0,0,35,0,0,-1,2391557,156180,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,2195298746,0.0,49.59,50.44,13.7,5.24,0.0,12337,13744,1105,2512,0,0,0,12838,654,5,575,813,507,2076,906,2190,2894,2059,57,664,13859,0,14523,15.384615384615385,65.0,65.0,AREA 0,5,13,1,153.6,153.18,0.18,0.1,sky130_fd_sc_ls,4,4 -26,aes_cipher,aes_cipher,config_TEST_SW_LS,flow_completed,4h32m2s,3h46m55s,87613.91694725027,0.66825,21903.479236812567,37,940.12,14637,0,0,0,0,0,0,0,2,0,0,-1,1287806,170445,0.0,0.0,0.0,-0.04,0.0,0.0,0.0,0.0,0.0,0.0,1052178226,0.0,47.59,42.97,8.97,2.0,0.0,14517,14898,411,792,0,0,0,14637,461,386,73,357,18246,848,301,148,659,660,18,478,7228,0,7706,99.10802775024777,10.09,10.09,AREA 0,5,25,1,153.6,153.18,0.3,0.0,sky130_fd_sc_ls,4,4 -27,salsa20,salsa20,config_TEST_SW_LS,flow_completed,4h48m46s,3h29m15s,66309.70544950872,1.478592,13261.941089901746,29,1098.79,19609,0,0,0,0,0,0,0,46,0,0,-1,2215859,220692,-10.39,-10.39,0.0,0.0,0.0,-1464.66,-1464.66,0.0,0.0,0.0,1882514707,0.0,39.17,33.01,6.28,1.23,0.0,19584,19653,4073,4142,0,0,0,19609,518,5,615,755,1608,2268,769,7341,5004,4742,60,718,16241,0,16959,27.225701061802344,36.73,36.73,AREA 0,6,20,1,153.6,153.18,0.25,0.0,sky130_fd_sc_ls,4,4 -28,aes,aes,config_TEST_SW_LS,flow_completed,4h54m24s,3h37m45s,63387.451214559114,1.487124,12677.490242911823,29,1129.2,18853,0,0,0,0,0,0,0,27,0,0,-1,1792468,224821,-10.15,-10.15,-2.13,-7.56,0.0,-27753.22,-27753.22,-129.6,-129.6,0.0,1314099158,0.0,33.46,27.22,1.6,0.13,0.11,18828,18897,3136,3205,0,0,0,18853,219,0,88,346,8956,2179,691,3103,4034,4222,18,720,16288,0,17008,38.610038610038615,25.9,25.9,AREA 0,8,20,1,153.6,153.18,0.25,0.0,sky130_fd_sc_ls,4,4 -29,sha512,sha512,config_TEST_SW_LS,flow_completed,4h58m8s,3h10m39s,63354.798248759274,1.511614,15838.699562189819,37,1238.04,23942,0,0,0,0,0,0,0,35,0,0,-1,2374473,284679,-71.79,-71.79,0.0,-5.69,0.0,-109347.12,-109347.12,0.0,0.0,0.0,1742444839,0.0,41.6,45.51,4.87,5.2,0.0,23917,23986,5703,5772,0,0,0,23942,624,0,429,1853,4118,1682,1301,3667,6372,7289,43,726,16603,0,17329,25.464731347084285,39.27,39.27,AREA 0,10,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_ls,4,4 -30,aes_core,aes_core,config_TEST_SW_LS,flow_completed,5h3m44s,3h56m14s,66708.18980310406,1.101394,16677.047450776015,38,1093.71,18368,0,0,0,0,0,0,0,28,0,0,-1,2057892,233852,-8.09,-8.09,-1.57,-5.46,0.0,-19861.81,-19861.81,-99.6,-99.6,0.0,1623846359,0.0,47.9,40.39,10.24,1.35,0.0,18249,18758,2490,2999,0,0,0,18368,303,0,175,343,8961,2035,834,2717,3710,3696,19,618,11971,0,12589,46.992481203007515,21.28,21.28,AREA 0,6,25,1,153.6,153.18,0.3,0.0,sky130_fd_sc_ls,4,4 -31,aes128,aes128,config_TEST_SW_LS,flow_completed,5h23m45s,3h21m23s,68253.7385114376,2.431984,17063.4346278594,30,1439.8,41498,0,0,0,0,0,0,0,72,0,0,-1,2605123,336879,-1.26,-1.26,-0.96,-2.48,-1.14,-589.0,-589.0,-255.71,-255.71,-252.16,2292105682,0.0,34.62,26.41,5.6,0.06,0.0,41374,41755,10452,10833,0,0,0,41498,0,5568,65,1223,1198,17800,6545,800,6496,6736,29,924,26908,0,27832,79.55449482895783,12.57,11.43,AREA 0,7,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_ls,4,4 -32,aes192,aes192,config_TEST_SW_LS,flow_completed,6h16m52s,4h11m32s,64932.29093955046,3.5815,12986.458187910093,25,2012.96,46511,0,0,0,0,0,0,0,78,0,0,-1,3434798,385119,-1.41,-1.41,-0.91,-2.78,-1.41,-474.49,-474.49,-205.06,-205.06,-236.16,3044031058,0.0,30.71,24.4,4.37,0.21,0.0,46387,46832,13036,13481,0,0,0,46511,14,7968,55,1831,1158,19939,7390,684,7660,6689,31,1124,39758,0,40882,72.5689404934688,13.78,12.37,AREA 0,9,20,1,153.6,153.18,0.25,0.1,sky130_fd_sc_ls,4,4 -33,ldpc_decoder_802_3an,ldpc_decoder_802_3an,config_TEST_SW_LS,flow_failed,6h40m24s,-1,-902260000000.0,-1e-06,-90226000000.0,23,-1.0,90226,-1,-1,-1,-1,-1,-1,-1,-1,0,-1,-1,-1,-1,-71.14,-71.14,0.0,0.0,0.0,-145691.72,-145691.72,0.0,0.0,0.0,33432627469,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,88183,92277,2054,6148,0,0,0,90226,0,0,32,0,6519,19649,4544,2048,4098,6146,23,1972,122504,0,124476,12.987012987012987,77.0,77.0,DELAY 0,6,10,1,153.6,153.18,0.15,0.0,sky130_fd_sc_ls,4,4 -34,aes256,aes256,config_TEST_SW_LS,flow_completed,6h40m25s,4h38m28s,64481.40577537214,3.113404,19344.42173261164,36,1845.42,60227,0,0,0,0,0,0,0,94,0,0,-1,4061674,485975,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,3532346146,0.0,32.29,37.71,1.62,1.26,0.0,60103,60612,17643,18152,0,0,0,60227,0,11072,77,1733,1656,24387,9321,1104,9232,9031,29,1048,34710,0,35758,66.66666666666667,15.0,15.0,AREA 0,9,30,1,153.6,153.18,0.35,0.05,sky130_fd_sc_ls,4,4 -35,des3,des3,config_TEST_SW_LS,flow_completed,6h46m57s,5h7m57s,70663.75259706432,3.5588260000000003,14132.750519412864,25,1909.71,50296,0,0,0,0,0,0,0,87,0,0,-1,2873899,436785,-20.18,-20.18,-13.24,-17.31,-12.6,-31789.96,-31789.96,-12686.0,-12686.0,-12494.05,2271976339,0.0,24.53,22.25,1.8,0.34,0.0,50239,50530,8959,9250,0,0,0,50296,1344,8808,144,1344,38112,5376,768,2416,5376,1536,14,1120,39615,0,40735,49.52947003467064,20.189999999999998,7.59,AREA 0,7,20,1,153.6,153.18,0.25,0.1,sky130_fd_sc_ls,4,4 -36,point_add,point_add,config_TEST_SW_LS,flow_completed,6h56m50s,5h4m40s,77397.15332780207,2.613016,19349.288331950516,35,1758.94,50560,0,0,0,0,0,0,0,71,0,0,-1,4402686,503550,-35.84,-35.84,-5.03,-8.44,-5.13,-79510.91,-79510.91,-1349.47,-1349.47,-1244.5,3450464157,0.0,46.8,42.88,15.24,13.27,0.36,50182,51340,6131,7289,0,0,0,50560,857,1149,640,3041,18519,579,3,6546,10796,12400,17,958,28856,0,29814,66.09385327164574,15.129999999999999,10.0,AREA 0,5,25,1,153.6,153.18,0.3,0.15,sky130_fd_sc_ls,4,4 -37,point_scalar_mult,point_scalar_mult,config_TEST_SW_LS,flow_completed,7h26m6s,5h30m30s,75526.5138490796,2.925754,18881.6284622699,34,1850.97,55243,0,0,0,0,0,0,0,87,0,0,-1,4501528,529651,-35.84,-35.84,-6.3,-11.37,-5.83,-87583.84,-87583.84,-3126.92,-3126.92,-5495.51,3689955299,0.0,41.8,37.92,7.67,0.94,0.0,54863,55785,7456,8378,0,0,0,55243,253,1149,788,3213,18054,582,0,8651,12661,13726,17,1014,32317,0,33331,63.171193935565384,15.83,10.0,AREA 0,5,25,1,153.6,153.18,0.3,0.05,sky130_fd_sc_ls,4,4 -38,jpeg_encoder,jpeg_encoder,config_TEST_SW_LS,flow_failed,7h38m18s,6h7m40s,76947.72442822355,3.7888059999999997,15389.544885644711,26,2123.56,58308,0,0,0,0,0,0,-1,-1,14,-1,-1,5088886,574432,-26.88,-26.88,0.0,-4.41,-1.4,-44009.05,-44009.05,0.0,0.0,-18.38,4191127761,0.0,33.86,30.24,5.75,1.72,0.0,58293,58329,4381,4417,0,0,0,58308,3839,1,2296,5188,7634,15175,5614,4439,6723,6061,37,1156,42046,0,43202,58.20721769499418,17.18,15.78,AREA 0,6,20,1,153.6,153.18,0.25,0.0,sky130_fd_sc_ls,4,4 -39,y_dct,y_dct,config_TEST_SW_LS,flow_completed,8h53m19s,5h14m56s,63827.69530585051,6.132996,12765.539061170102,26,3080.96,78291,0,0,0,0,0,0,0,122,0,0,-1,6660107,637586,0.0,0.0,0.0,-2.67,-1.45,0.0,0.0,0.0,0.0,-20.97,5951174263,0.0,29.49,26.17,1.61,0.34,0.0,100762,101409,4703,5350,0,0,0,78291,3238,72,1227,3318,3941,3531,161,10172,31779,27586,20,1476,68812,0,70288,45.51661356395084,21.97,20.52,AREA 0,5,20,1,153.6,153.18,0.25,0.0,sky130_fd_sc_ls,4,4 -40,151,riscv_top,config_TEST_SW_LS,flow_completed,10h1m22s,6h27m49s,51277.5387230152,5.7048760000000005,12819.3846807538,33,3112.68,73133,0,0,0,0,0,0,0,106,0,0,-1,6393411,745926,-278.01,-278.01,0.0,-5.79,0.0,-4530327.0,-4530327.0,0.0,0.0,0.0,4681113643,0.0,29.84,26.07,1.42,1.94,0.0,72936,73271,23324,23659,0,0,0,73133,109,2216,286,1088,3839,426,120,20245,24812,25565,22,1422,63807,0,65229,20.0,50.0,50.0,DELAY 1,5,25,1,153.6,153.18,0.3,0.0,sky130_fd_sc_ls,4,4 -41,sound,sound,config_TEST_SW_LS,flow_completed,23h56m11s,9h36m21s,70458.09529546964,11.387194000000001,17614.52382386741,34,6853.71,200580,0,0,0,0,0,0,0,129,0,0,-1,12114822,2076534,-27.97,-27.97,0.0,0.0,0.0,-343476.47,-343476.47,0.0,0.0,0.0,8414083629,0.0,30.34,23.92,0.69,0.05,0.0,200545,200662,200545,200662,0,0,0,200580,11691,1896,10906,21307,46996,25037,13741,15776,1102,1650,30,2014,128133,0,130147,7.308338814587444,136.83,136.83,AREA 0,9,25,1,153.6,153.18,0.3,0.0,sky130_fd_sc_ls,4,4 +,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GRT_ADJUSTMENT,STD_CELL_LIBRARY,DIODE_INSERTION_STRATEGY +0,digital_pll_sky130_fd_sc_hd,digital_pll,config_TEST_SW_LS,flow_failed,0h0m42s,-1,2040816.32653061,-0.000001,1000000,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,0,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,59.5238095238095,16.8,15.8,AREA 0,6,49,1,153.6,153.18,0.54,0,sky130_fd_sc_ls,4 +1,inverter,inverter,config_TEST_SW_LS,flow_completed,0h6m56s,0h6m15s,1089.32461873638,0.001836,544.662309368192,4,380.54,1,0,0,0,0,0,0,0,0,0,0,-1,75,13,0,0,0,0,0,0,0,0,0,0,-1,0,1.13,1.21,0,0,0,2,2,2,2,0,0,0,1,0,0,0,0,0,0,0,0,1,1,1,16,5,0,21,100,10,10,AREA 0,5,50,1,153.6,153.18,0.75,0,sky130_fd_sc_ls,4 +2,manual_macro_placement_test,manual_macro_placement_test,config_TEST_SW_LS,flow_completed,0h8m56s,0h6m45s,56.0092303211569,0.102024,19.6032306124049,1,420.12,2,0,0,0,0,0,0,0,2,0,-1,-1,20081,498,0,0,0,0,0,0,0,0,0,0,-1,0,3.64,4.62,3.38,4.6,0.05,10,72,10,72,0,0,0,2,0,0,0,0,0,0,0,0,-1,-1,-1,180,563,0,743,10,100,100,AREA 0,5,35,1,30,153.18,0.35,0,sky130_fd_sc_ls,0 +3,cic_decimator,cic_decimator,config_ls_first_rerun,flow_completed,0h7m39s,0h7m13s,62959.8189020939,0.031806,25183.9275608376,48,498.89,801,0,0,0,0,0,0,0,0,0,0,-1,31136,7163,0,0,0,0,0,0,0,0,0,0,20226572,0,27.83,23.16,0.04,0,0,790,823,119,152,0,0,0,801,15,0,21,51,90,92,51,220,131,112,16,94,269,0,363,100,10,10,AREA 0,6,40,1,15,15,0.45,0,sky130_fd_sc_ls,4 +4,wbqspiflash,wbqspiflash,config_ls_first_rerun,flow_completed,0h11m17s,0h9m54s,69100.1952831606,0.105561,24185.0683491062,47,584.73,2553,0,0,0,0,0,0,0,2,0,0,-1,151457,25406,0,0,0,0,0,0,0,0,0,0,99369767,0,40.11,32.14,1.27,0.23,0,2526,2614,264,352,0,0,0,2553,55,1,147,155,796,79,6,376,656,885,21,184,1079,0,1263,53.0222693531283,18.86,18.86,AREA 0,6,35,1,153.6,153.18,0.4,0,sky130_fd_sc_ls,4 +4,xtea,xtea,config_ls_second_rerun,flow_completed,0h10m5s,0h8m17s,70333.4293218845,0.098226,24616.7002626596,45,586.81,2418,0,0,0,0,0,0,0,3,0,0,-1,148019,23636,0,0,0,0,0,0,0,0,0,0,116283445,0,47,43.67,2.85,0.89,0,2362,2613,123,374,0,0,0,2418,16,0,54,226,390,436,121,174,468,615,28,176,988,0,1164,38.4172109104879,26.03,26.03,AREA 0,6,35,1,153.6,153.18,0.4,0.15,sky130_fd_sc_ls,4 +6,s44,lut_s44,config_TEST_SW_LS,flow_completed,0h21m5s,0h19m32s,44683.9874700571,0.043416,2234.19937350286,7,415.36,97,0,0,0,0,0,0,0,0,0,0,-1,6676,1043,0,0,0,0,0,0,0,0,0,0,5279922,0,3.91,3.65,0,0,0,94,114,38,58,0,0,0,97,0,0,0,0,0,0,0,32,41,32,4,114,411,0,525,33.3333333333333,30,30,AREA 0,5,5,1,153.6,153.18,0.5,0,sky130_fd_sc_ls,4 +7,spm,spm,config_TEST_SW_LS,flow_completed,0h22m41s,0h21m30s,42597.4025974026,0.01375,20872.7272727273,54,442.45,287,0,0,0,0,0,0,0,0,0,0,-1,9112,2196,0,0,0,0,0,0,0,0,0,0,5730580,0,18.05,16.17,0,0,0,291,322,39,70,0,0,0,287,32,0,31,31,1,63,31,0,97,128,4,58,108,0,166,100,10,10,AREA 0,5,49,1,153.6,153.18,0.54,0,sky130_fd_sc_ls,4 +8,y_huff,y_huff,config_ls_first_rerun,flow_completed,0h31m5s,0h21m29s,60590.8377336949,0.5005,21206.7932067932,51,815.16,10614,0,0,0,0,0,0,0,13,0,0,-1,1025203,125431,-5.32,-5.32,-5.11,-7.91,-3.78,-7300.75,-7300.75,-3686.48,-3686.48,-2058.48,760865184,0,58.65,55.02,14.41,8.17,0,10646,11321,2383,3058,0,0,0,10614,237,0,146,384,1310,575,87,4679,4830,3153,16,412,5304,0,5716,66.0938532716457,15.13,11.35,AREA 0,6,35,1,153.6,153.18,0.4,0.15,sky130_fd_sc_ls,4 +9,usb,usb,config_TEST_SW_LS,flow_completed,0h27m12s,0h24m44s,50657.7038398298,0.041356,20263.0815359319,45,495.16,838,0,0,0,0,0,0,0,0,0,0,-1,31071,7088,0,0,0,0,0,0,0,0,0,0,19627152,0,20.34,18.34,0.09,0,0,837,861,200,224,0,0,0,838,22,8,43,40,206,49,6,176,242,251,12,110,370,0,480,79.6812749003984,12.55,12.55,AREA 0,6,40,1,153.6,153.18,0.45,0,sky130_fd_sc_ls,4 +10,zipdiv,zipdiv,config_TEST_SW_LS,flow_completed,0h29m10s,0h26m13s,62790.6976744186,0.043,25116.2790697674,50,520.77,1080,0,0,0,0,0,0,0,0,0,0,-1,49798,10061,0,0,0,0,0,0,0,0,0,0,34898285,0,37.92,33.62,1.52,0,0,1052,1148,118,214,0,0,0,1080,46,0,3,43,165,146,14,241,273,273,31,112,404,0,516,52.3834468308015,19.09,19.09,AREA 0,6,40,1,153.6,153.18,0.45,0.15,sky130_fd_sc_ls,4 +11,usb_cdc_core,usb_cdc_core,config_TEST_SW_LS,flow_completed,0h38m4s,0h31m50s,63689.7817706372,0.072574,28660.4017967867,51,547.68,2080,0,0,0,0,0,0,0,3,0,0,-1,79282,16356,0,0,0,0,0,0,0,0,0,0,52246240,0,30.38,26.08,0.36,0,0,2076,2107,314,345,0,0,0,2080,99,0,110,89,676,130,41,252,365,683,19,150,693,0,843,64.1025641025641,15.6,15.6,AREA 0,6,45,1,153.6,153.18,0.5,0,sky130_fd_sc_ls,4 +12,APU,APU,config_TEST_SW_LS,flow_completed,0h50m45s,0h36m41s,64099.1123463796,0.137956,22434.6893212329,44,575.71,3095,0,0,0,0,0,0,0,2,0,0,-1,147078,28982,0,0,0,0,0,0,0,0,0,0,93970224,0,29,25.77,0.07,0,0,3068,3127,380,439,0,0,0,3095,86,28,103,170,344,251,52,709,683,867,26,212,1404,0,1616,58.8235294117647,17,17,AREA 0,6,35,1,153.6,153.18,0.4,0,sky130_fd_sc_ls,4 +13,synth_ram,synth_ram,config_TEST_SW_LS,flow_completed,1h27m5s,0h49m36s,51091.5147952185,0.2835,22991.1816578483,56,661.34,6518,0,0,0,0,0,0,0,9,0,0,-1,466775,70936,0,0,0,0,0,0,0,0,0,0,250943518,0,46.66,36.32,1.95,0.56,0,6492,6578,2054,2140,0,0,0,6518,8,0,2,1,81,0,0,2080,2155,2080,8,308,2964,0,3272,20,50,50,AREA 0,5,45,1,153.6,153.18,0.5,0,sky130_fd_sc_ls,4 +14,ocs_blitter,ocs_blitter,config_TEST_SW_LS,flow_completed,1h50m48s,1h9m7s,65731.1225026684,0.304096,23005.8928759339,49,701.21,6996,0,0,0,0,0,0,0,5,0,0,-1,465255,75484,-33.81,-33.81,-6.51,-9.67,-6.1,-5887.58,-5887.58,-132.95,-132.95,-118.28,275266344,0,42.03,35.69,1.23,0.22,0,6944,7088,439,583,0,0,0,6996,164,0,189,595,1116,745,127,471,962,1734,27,318,3218,0,3536,41.1522633744856,24.3,18.2,AREA 0,6,35,1,153.6,153.18,0.4,0,sky130_fd_sc_ls,4 +15,md5,md5,config_TEST_SW_LS,flow_completed,1h52m25s,1h17m25s,60813.1163294007,0.268786,21284.5907152902,47,671.64,5721,0,0,0,0,0,0,0,4,0,0,-1,412325,60225,0,0,0,0,0,0,0,0,0,0,297365406,0,41.71,33.22,4.24,1.54,0,5599,5853,1175,1429,0,0,0,5721,80,0,137,240,1477,396,213,650,1208,1399,38,300,2812,0,3112,26.9905533063428,37.05,37.05,AREA 0,6,35,1,153.6,153.18,0.4,0,sky130_fd_sc_ls,4 +16,PPU,PPU,config_TEST_SW_LS,flow_completed,2h43m12s,1h10m18s,53353.7104615965,0.880726,10670.7420923193,28,795.14,9398,0,0,0,0,0,0,0,17,0,0,-1,763760,105403,-20.93,-20.93,0,-1.71,0,-5037.73,-5037.73,0,0,0,491276864,0,27.33,20.62,0.37,0.09,0,9339,9422,2898,2981,0,0,0,9398,53,8,55,72,460,113,21,2942,3193,3308,15,552,9589,0,10141,55.5555555555556,18,18,AREA 0,8,20,1,153.6,153.18,0.25,0.05,sky130_fd_sc_ls,4 +17,genericfir,genericfir,config_TEST_SW_LS,flow_completed,3h4m35s,2h26m49s,49309.7416794039,0.427006,22189.3837557318,50,715.54,9475,0,0,0,0,0,0,0,1,0,0,-1,405850,87048,0,0,0,0,0,0,0,0,0,0,253238877,0,30.38,25.85,0.24,0.02,0,12499,12551,6835,6887,0,0,0,9475,0,0,2001,3390,4008,3000,750,7560,3782,3780,6,380,4512,0,4892,100,10,10,AREA 0,7,45,1,153.6,153.18,0.5,0.1,sky130_fd_sc_ls,4 +18,BM64,BM64,config_TEST_SW_LS,flow_completed,3h14m46s,1h40m24s,66661.8848797381,0.706384,11999.1392783529,28,785.94,8476,0,0,0,0,0,0,0,22,0,0,-1,1130217,101322,0,0,0,0,0,0,0,0,0,0,998818790,0,39.82,38.72,4.32,0.36,0,7970,8991,785,1806,0,0,0,8476,26,0,12,341,2174,989,307,2573,1801,1293,34,492,7684,0,8176,50,20,20,AREA 0,6,18,1,153.6,153.18,0.23,0,sky130_fd_sc_ls,4 +19,des,des,config_TEST_SW_LS,flow_completed,3h32m47s,2h39m17s,73760.6133660625,0.618526,25816.2146781219,40,854.71,15968,0,0,0,0,0,0,0,21,0,0,-1,774799,135009,0,0,-3.28,-7.28,-1.23,0,0,-116.46,-116.46,-18.71,626592590,0,38.06,33.04,4.8,0.58,0,15909,16090,1957,2138,0,0,0,15968,432,1984,48,448,12688,1792,256,768,1792,512,13,460,6610,0,7070,47.1031559114461,21.23,20,AREA 0,6,35,1,153.6,153.18,0.4,0.1,sky130_fd_sc_ls,4 +20,picorv32a,picorv32a,config_TEST_SW_LS,flow_completed,3h34m18s,2h26m20s,70840.8453451996,0.582876,24794.2958708199,47,877.34,14452,0,0,0,0,0,0,0,13,0,0,-1,1110638,149802,0,0,0,0,0,0,0,0,0,0,778729960,0,54.82,46.5,14.18,3.58,0,14172,14554,1565,1947,0,0,0,14452,1159,227,896,560,2391,2462,615,1664,2132,2900,134,446,6298,0,6744,40.4367165386171,24.73,24.73,AREA 0,6,35,1,153.6,153.18,0.4,0.1,sky130_fd_sc_ls,4 +21,usbf_device,usbf_device,config_TEST_SW_LS,flow_completed,3h54m28s,3h6m53s,62938.105891126,0.54385,28322.1476510067,54,884.18,15403,0,0,0,0,0,0,0,15,0,0,-1,1150849,157393,0,0,0,0,0,0,0,0,0,0,622942032,0,50.64,50.53,5.08,5.27,0,15378,15524,3775,3921,0,0,0,15403,675,0,362,157,4505,280,61,3692,4004,4615,14,430,5857,0,6287,68.4931506849315,14.6,14.6,AREA 0,6,45,1,153.6,153.18,0.5,0,sky130_fd_sc_ls,4 +22,chacha,chacha,config_TEST_SW_LS,flow_completed,3h58m44s,2h32m27s,73441.8561220815,0.899596,18360.4640305204,37,967.33,16517,0,0,0,0,0,0,0,32,0,0,-1,1603897,179383,-24.41,-24.41,-5.4,-10.26,-5.37,-9415.42,-9415.42,-805.44,-805.44,-765.99,1310766065,0,48.39,44.31,15.69,6.11,0,16492,16561,2389,2458,0,0,0,16517,605,5,604,825,810,2011,971,3036,3035,3673,57,558,9833,0,10391,31.8674314850223,31.38,26.01,AREA 0,6,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_ls,4 +23,sha3,sha3,config_TEST_SW_LS,flow_completed,4h13m22s,3h31m9s,65164.2226107266,0.628785,22807.4779137543,45,889.39,14341,0,0,0,0,0,0,0,9,0,0,-1,995752,149259,-6.02,-6.02,-6.08,-10.78,-3.81,-9055.87,-9055.87,-1084.5,-1084.5,-563.87,634099099,0,46.68,40.8,5.53,4.69,0.9,14316,14385,3031,3100,0,0,0,14341,911,0,893,238,878,848,435,3088,3162,3709,23,464,6784,0,7248,60.5326876513317,16.52,12.71,AREA 0,6,35,1,153.6,153.18,0.4,0.1,sky130_fd_sc_ls,4 +24,ldpcenc,ldpcenc,config_TEST_SW_LS,flow_completed,4h19m58s,2h57m20s,65406.2340316812,1.07635,16351.5585079203,38,1027.26,17600,0,0,0,0,0,0,0,38,0,0,-1,1823750,207378,-52.63,-52.63,-4.2,-5.88,-4.25,-41625.77,-41625.77,-464.3,-464.3,-213.69,1480195009,0,41.04,38.42,8.42,2.14,0,17581,17636,1527,1582,0,0,0,17600,103,94,990,79,2098,1958,84,1265,2487,2565,13,610,11664,0,12274,58.3090379008746,17.15,12.9,AREA 0,6,25,1,153.6,153.18,0.3,0,sky130_fd_sc_ls,4 +25,blabla,blabla,config_TEST_SW_LS,flow_completed,4h22m35s,2h4m48s,77823.5213743108,1.268946,10117.0577786604,22,966.26,12838,0,0,0,0,0,0,0,35,0,0,-1,2391557,156180,0,0,0,0,0,0,0,0,0,0,2195298746,0,49.59,50.44,13.7,5.24,0,12337,13744,1105,2512,0,0,0,12838,654,5,575,813,507,2076,906,2190,2894,2059,57,664,13859,0,14523,15.3846153846154,65,65,AREA 0,5,13,1,153.6,153.18,0.18,0.1,sky130_fd_sc_ls,4 +26,aes_cipher,aes_cipher,config_TEST_SW_LS,flow_completed,4h32m2s,3h46m55s,87613.9169472503,0.66825,21903.4792368126,37,940.12,14637,0,0,0,0,0,0,0,2,0,0,-1,1287806,170445,0,0,0,-0.04,0,0,0,0,0,0,1052178226,0,47.59,42.97,8.97,2,0,14517,14898,411,792,0,0,0,14637,461,386,73,357,18246,848,301,148,659,660,18,478,7228,0,7706,99.1080277502478,10.09,10.09,AREA 0,5,25,1,153.6,153.18,0.3,0,sky130_fd_sc_ls,4 +27,salsa20,salsa20,config_TEST_SW_LS,flow_completed,4h48m46s,3h29m15s,66309.7054495087,1.478592,13261.9410899017,29,1098.79,19609,0,0,0,0,0,0,0,46,0,0,-1,2215859,220692,-10.39,-10.39,0,0,0,-1464.66,-1464.66,0,0,0,1882514707,0,39.17,33.01,6.28,1.23,0,19584,19653,4073,4142,0,0,0,19609,518,5,615,755,1608,2268,769,7341,5004,4742,60,718,16241,0,16959,27.2257010618023,36.73,36.73,AREA 0,6,20,1,153.6,153.18,0.25,0,sky130_fd_sc_ls,4 +28,aes,aes,config_TEST_SW_LS,flow_completed,4h54m24s,3h37m45s,63387.4512145591,1.487124,12677.4902429118,29,1129.2,18853,0,0,0,0,0,0,0,27,0,0,-1,1792468,224821,-10.15,-10.15,-2.13,-7.56,0,-27753.22,-27753.22,-129.6,-129.6,0,1314099158,0,33.46,27.22,1.6,0.13,0.11,18828,18897,3136,3205,0,0,0,18853,219,0,88,346,8956,2179,691,3103,4034,4222,18,720,16288,0,17008,38.6100386100386,25.9,25.9,AREA 0,8,20,1,153.6,153.18,0.25,0,sky130_fd_sc_ls,4 +29,sha512,sha512,config_TEST_SW_LS,flow_completed,4h58m8s,3h10m39s,63354.7982487593,1.511614,15838.6995621898,37,1238.04,23942,0,0,0,0,0,0,0,35,0,0,-1,2374473,284679,-71.79,-71.79,0,-5.69,0,-109347.12,-109347.12,0,0,0,1742444839,0,41.6,45.51,4.87,5.2,0,23917,23986,5703,5772,0,0,0,23942,624,0,429,1853,4118,1682,1301,3667,6372,7289,43,726,16603,0,17329,25.4647313470843,39.27,39.27,AREA 0,10,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_ls,4 +30,aes_core,aes_core,config_TEST_SW_LS,flow_completed,5h3m44s,3h56m14s,66708.1898031041,1.101394,16677.047450776,38,1093.71,18368,0,0,0,0,0,0,0,28,0,0,-1,2057892,233852,-8.09,-8.09,-1.57,-5.46,0,-19861.81,-19861.81,-99.6,-99.6,0,1623846359,0,47.9,40.39,10.24,1.35,0,18249,18758,2490,2999,0,0,0,18368,303,0,175,343,8961,2035,834,2717,3710,3696,19,618,11971,0,12589,46.9924812030075,21.28,21.28,AREA 0,6,25,1,153.6,153.18,0.3,0,sky130_fd_sc_ls,4 +31,aes128,aes128,config_TEST_SW_LS,flow_completed,5h23m45s,3h21m23s,68253.7385114376,2.431984,17063.4346278594,30,1439.8,41498,0,0,0,0,0,0,0,72,0,0,-1,2605123,336879,-1.26,-1.26,-0.96,-2.48,-1.14,-589,-589,-255.71,-255.71,-252.16,2292105682,0,34.62,26.41,5.6,0.06,0,41374,41755,10452,10833,0,0,0,41498,0,5568,65,1223,1198,17800,6545,800,6496,6736,29,924,26908,0,27832,79.5544948289578,12.57,11.43,AREA 0,7,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_ls,4 +32,aes192,aes192,config_TEST_SW_LS,flow_completed,6h16m52s,4h11m32s,64932.2909395505,3.5815,12986.4581879101,25,2012.96,46511,0,0,0,0,0,0,0,78,0,0,-1,3434798,385119,-1.41,-1.41,-0.91,-2.78,-1.41,-474.49,-474.49,-205.06,-205.06,-236.16,3044031058,0,30.71,24.4,4.37,0.21,0,46387,46832,13036,13481,0,0,0,46511,14,7968,55,1831,1158,19939,7390,684,7660,6689,31,1124,39758,0,40882,72.5689404934688,13.78,12.37,AREA 0,9,20,1,153.6,153.18,0.25,0.1,sky130_fd_sc_ls,4 +33,ldpc_decoder_802_3an,ldpc_decoder_802_3an,config_TEST_SW_LS,flow_failed,6h40m24s,-1,-902260000000,-0.000001,-90226000000,23,-1,90226,-1,-1,-1,-1,-1,-1,-1,-1,0,-1,-1,-1,-1,-71.14,-71.14,0,0,0,-145691.72,-145691.72,0,0,0,33432627469,-1,-1,-1,-1,-1,-1,88183,92277,2054,6148,0,0,0,90226,0,0,32,0,6519,19649,4544,2048,4098,6146,23,1972,122504,0,124476,12.987012987013,77,77,DELAY 0,6,10,1,153.6,153.18,0.15,0,sky130_fd_sc_ls,4 +34,aes256,aes256,config_TEST_SW_LS,flow_completed,6h40m25s,4h38m28s,64481.4057753721,3.113404,19344.4217326116,36,1845.42,60227,0,0,0,0,0,0,0,94,0,0,-1,4061674,485975,0,0,0,0,0,0,0,0,0,0,3532346146,0,32.29,37.71,1.62,1.26,0,60103,60612,17643,18152,0,0,0,60227,0,11072,77,1733,1656,24387,9321,1104,9232,9031,29,1048,34710,0,35758,66.6666666666667,15,15,AREA 0,9,30,1,153.6,153.18,0.35,0.05,sky130_fd_sc_ls,4 +35,des3,des3,config_TEST_SW_LS,flow_completed,6h46m57s,5h7m57s,70663.7525970643,3.558826,14132.7505194129,25,1909.71,50296,0,0,0,0,0,0,0,87,0,0,-1,2873899,436785,-20.18,-20.18,-13.24,-17.31,-12.6,-31789.96,-31789.96,-12686,-12686,-12494.05,2271976339,0,24.53,22.25,1.8,0.34,0,50239,50530,8959,9250,0,0,0,50296,1344,8808,144,1344,38112,5376,768,2416,5376,1536,14,1120,39615,0,40735,49.5294700346706,20.19,7.59,AREA 0,7,20,1,153.6,153.18,0.25,0.1,sky130_fd_sc_ls,4 +36,point_add,point_add,config_TEST_SW_LS,flow_completed,6h56m50s,5h4m40s,77397.1533278021,2.613016,19349.2883319505,35,1758.94,50560,0,0,0,0,0,0,0,71,0,0,-1,4402686,503550,-35.84,-35.84,-5.03,-8.44,-5.13,-79510.91,-79510.91,-1349.47,-1349.47,-1244.5,3450464157,0,46.8,42.88,15.24,13.27,0.36,50182,51340,6131,7289,0,0,0,50560,857,1149,640,3041,18519,579,3,6546,10796,12400,17,958,28856,0,29814,66.0938532716457,15.13,10,AREA 0,5,25,1,153.6,153.18,0.3,0.15,sky130_fd_sc_ls,4 +37,point_scalar_mult,point_scalar_mult,config_TEST_SW_LS,flow_completed,7h26m6s,5h30m30s,75526.5138490796,2.925754,18881.6284622699,34,1850.97,55243,0,0,0,0,0,0,0,87,0,0,-1,4501528,529651,-35.84,-35.84,-6.3,-11.37,-5.83,-87583.84,-87583.84,-3126.92,-3126.92,-5495.51,3689955299,0,41.8,37.92,7.67,0.94,0,54863,55785,7456,8378,0,0,0,55243,253,1149,788,3213,18054,582,0,8651,12661,13726,17,1014,32317,0,33331,63.1711939355654,15.83,10,AREA 0,5,25,1,153.6,153.18,0.3,0.05,sky130_fd_sc_ls,4 +38,jpeg_encoder,jpeg_encoder,config_TEST_SW_LS,flow_failed,7h38m18s,6h7m40s,76947.7244282236,3.788806,15389.5448856447,26,2123.56,58308,0,0,0,0,0,0,-1,-1,14,-1,-1,5088886,574432,-26.88,-26.88,0,-4.41,-1.4,-44009.05,-44009.05,0,0,-18.38,4191127761,0,33.86,30.24,5.75,1.72,0,58293,58329,4381,4417,0,0,0,58308,3839,1,2296,5188,7634,15175,5614,4439,6723,6061,37,1156,42046,0,43202,58.2072176949942,17.18,15.78,AREA 0,6,20,1,153.6,153.18,0.25,0,sky130_fd_sc_ls,4 +39,y_dct,y_dct,config_TEST_SW_LS,flow_completed,8h53m19s,5h14m56s,63827.6953058505,6.132996,12765.5390611701,26,3080.96,78291,0,0,0,0,0,0,0,122,0,0,-1,6660107,637586,0,0,0,-2.67,-1.45,0,0,0,0,-20.97,5951174263,0,29.49,26.17,1.61,0.34,0,100762,101409,4703,5350,0,0,0,78291,3238,72,1227,3318,3941,3531,161,10172,31779,27586,20,1476,68812,0,70288,45.5166135639508,21.97,20.52,AREA 0,5,20,1,153.6,153.18,0.25,0,sky130_fd_sc_ls,4 +40,151,riscv_top,config_TEST_SW_LS,flow_completed,10h1m22s,6h27m49s,51277.5387230152,5.704876,12819.3846807538,33,3112.68,73133,0,0,0,0,0,0,0,106,0,0,-1,6393411,745926,-278.01,-278.01,0,-5.79,0,-4530327,-4530327,0,0,0,4681113643,0,29.84,26.07,1.42,1.94,0,72936,73271,23324,23659,0,0,0,73133,109,2216,286,1088,3839,426,120,20245,24812,25565,22,1422,63807,0,65229,20,50,50,DELAY 1,5,25,1,153.6,153.18,0.3,0,sky130_fd_sc_ls,4 +41,sound,sound,config_TEST_SW_LS,flow_completed,23h56m11s,9h36m21s,70458.0952954696,11.387194,17614.5238238674,34,6853.71,200580,0,0,0,0,0,0,0,129,0,0,-1,12114822,2076534,-27.97,-27.97,0,0,0,-343476.47,-343476.47,0,0,0,8414083629,0,30.34,23.92,0.69,0.05,0,200545,200662,200545,200662,0,0,0,200580,11691,1896,10906,21307,46996,25037,13741,15776,1102,1650,30,2014,128133,0,130147,7.30833881458744,136.83,136.83,AREA 0,9,25,1,153.6,153.18,0.3,0,sky130_fd_sc_ls,4 diff --git a/regression_results/benchmark_results/SW_MS.csv b/regression_results/benchmark_results/SW_MS.csv index 1546dd31c..64d695983 100644 --- a/regression_results/benchmark_results/SW_MS.csv +++ b/regression_results/benchmark_results/SW_MS.csv @@ -1,43 +1,43 @@ -,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GRT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY -0,digital_pll_sky130_fd_sc_hd,digital_pll,config_TEST_SW_MS,flow_failed,0h0m18s,-1,2040816.3265306123,-1e-06,1000000.0,-1,-1.0,-1,-1,-1,-1,-1,-1,-1,-1,-1,0,-1,-1,-1,-1,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,-1,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,59.52380952380952,16.8,15.8,AREA 0,6,49,1,153.6,153.18,0.54,0.0,sky130_fd_sc_ms,4,4 -1,inverter,inverter,config_TEST_SW_MS,flow_completed,0h3m34s,0h3m9s,1089.3246187363834,0.001836,544.6623093681917,5,380.31,1,0,0,0,0,0,0,0,0,0,-1,-1,75,13,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,-1,0.0,1.13,0.97,0.0,0.0,0.0,2,2,2,2,0,0,0,1,0,0,0,0,0,0,0,0,1,1,1,16,5,0,21,100.0,10.0,10.0,AREA 0,5,50,1,153.6,153.18,0.75,0.0,sky130_fd_sc_ms,4,4 -2,manual_macro_placement_test,manual_macro_placement_test,config_TEST_SW_MS,flow_completed,0h4m20s,0h3m23s,56.009230321156934,0.102024,19.603230612404925,1,419.59,2,0,0,0,0,0,0,0,1,0,-1,-1,20071,494,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,-1,0.0,3.64,4.62,3.38,4.6,0.05,10,72,10,72,0,0,0,2,0,0,0,0,0,0,0,0,-1,-1,-1,180,563,0,743,10.0,100.0,100.0,AREA 0,5,35,1,30.0,153.18,0.35,0.0,sky130_fd_sc_ms,0,0 -3,zipdiv,zipdiv,config_ms_first_rerun,flow_completed,0h5m50s,0h5m16s,63539.12951392566,0.048563999999999996,22238.69532987398,45,532.21,1080,0,0,0,0,0,0,0,0,0,-1,-1,49792,10082,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,36935915,0.0,33.18,29.54,1.45,0.37,1.12,1052,1148,118,214,0,0,0,1080,46,0,3,43,165,146,14,241,273,273,31,120,463,0,583,52.38344683080147,19.09,19.09,AREA 0,6,35,1,153.6,153.18,0.4,0.15,sky130_fd_sc_ms,4,4 -4,xtea,xtea,config_ms_first_rerun,flow_completed,0h7m11s,0h5m47s,70333.42932188454,0.098226,24616.700262659586,46,555.43,2418,0,0,0,0,0,0,0,0,0,-1,-1,148457,23757,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,116170275,0.0,46.95,43.85,2.94,0.8,0.0,2362,2613,123,374,0,0,0,2418,16,0,54,226,390,436,121,174,468,615,28,176,988,0,1164,38.417210910487896,26.03,26.03,AREA 0,6,35,1,153.6,153.18,0.4,0.15,sky130_fd_sc_ms,4,4 -5,s44,lut_s44,config_TEST_SW_MS,flow_completed,0h7m38s,0h6m55s,44683.98747005712,0.043416,2234.1993735028564,7,415.57,97,0,0,0,0,0,0,0,0,0,-1,-1,6635,1047,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,5279922,0.0,3.92,3.65,0.0,0.0,0.0,94,114,38,58,0,0,0,97,0,0,0,0,0,0,0,32,41,32,4,114,411,0,525,33.333333333333336,30.0,30.0,AREA 0,5,5,1,153.6,153.18,0.5,0.0,sky130_fd_sc_ms,4,4 -6,usb,usb,config_TEST_SW_MS,flow_completed,0h10m42s,0h9m20s,50657.703839829774,0.041356,20263.08153593191,46,474.92,838,0,0,0,0,0,0,0,0,0,-1,-1,31480,7252,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,19648073,0.0,21.43,18.15,0.0,0.0,0.0,837,861,200,224,0,0,0,838,22,8,43,40,206,49,6,176,242,251,12,110,370,0,480,79.6812749003984,12.55,12.55,AREA 0,6,40,1,153.6,153.18,0.45,0.0,sky130_fd_sc_ms,4,4 -7,usbf_device,usbf_device,config_ms_first_rerun,flow_completed,0h32m4s,0h21m30s,63137.71013794133,0.609897,25255.084055176532,48,864.05,15403,0,0,0,0,0,0,0,4,0,-1,-1,942002,146576,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,655577528,0.0,39.61,36.82,2.71,0.99,0.0,15378,15524,3775,3921,0,0,0,15403,675,0,362,157,4505,280,61,3692,4004,4615,14,456,6553,0,7009,68.4931506849315,14.6,14.6,AREA 0,6,40,1,153.6,153.18,0.45,0.0,sky130_fd_sc_ms,4,4 -8,picorv32a,picorv32a,config_ms_first_rerun,flow_completed,0h29m7s,0h17m3s,71042.05500892697,0.678096,21312.616502678087,41,865.31,14452,0,0,0,0,0,0,0,13,0,-1,-1,1059842,144473,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,837264100,0.0,46.02,40.22,8.81,1.47,0.0,14172,14554,1565,1947,0,0,0,14452,1159,227,896,560,2391,2462,615,1664,2132,2900,134,482,7288,0,7770,40.43671653861706,24.73,24.73,AREA 0,6,30,1,153.6,153.18,0.35,0.1,sky130_fd_sc_ms,4,4 -9,cic_decimator,cic_decimator,config_TEST_SW_MS,flow_completed,0h11m16s,0h9m43s,62959.818902093946,0.031806,25183.92756083758,49,492.05,801,0,0,0,0,0,0,0,0,0,-1,-1,31216,7115,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,20078090,0.0,27.47,23.58,0.62,0.0,0.0,790,823,119,152,0,0,0,801,15,0,21,51,90,92,51,220,131,112,16,94,269,0,363,100.0,10.0,10.0,AREA 0,6,40,1,15.0,15.0,0.45,0.0,sky130_fd_sc_ms,4,4 -10,spm,spm,config_TEST_SW_MS,flow_completed,0h11m26s,0h10m46s,42597.40259740259,0.01375,20872.727272727272,54,474.69,287,0,0,0,0,0,0,0,0,0,-1,-1,8827,2179,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,5805510,0.0,17.17,16.28,0.0,0.0,0.0,291,322,39,70,0,0,0,287,32,0,31,31,1,63,31,0,97,128,4,58,108,0,166,100.0,10.0,10.0,AREA 0,5,49,1,153.6,153.18,0.54,0.0,sky130_fd_sc_ms,4,4 -11,usb_cdc_core,usb_cdc_core,config_TEST_SW_MS,flow_completed,0h23m39s,0h18m14s,63689.781770637186,0.072574,28660.401796786733,52,539.7,2080,0,0,0,0,0,0,0,1,0,-1,-1,79946,16384,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,52392919,0.0,31.18,25.45,0.36,0.03,0.0,2076,2107,314,345,0,0,0,2080,99,0,110,89,676,130,41,252,365,683,19,150,693,0,843,64.1025641025641,15.6,15.6,AREA 0,6,45,1,153.6,153.18,0.5,0.0,sky130_fd_sc_ms,4,4 -12,wbqspiflash,wbqspiflash,config_TEST_SW_MS,flow_completed,0h26m2s,0h17m1s,69700.39477779416,0.12209400000000001,20910.118433338248,41,562.64,2553,0,0,0,0,0,0,0,0,0,-1,-1,148278,25066,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,106595018,0.0,34.15,27.83,0.99,0.0,0.0,2526,2614,264,352,0,0,0,2553,55,1,147,155,796,79,6,376,656,885,20,198,1212,0,1410,53.022269353128316,18.86,18.86,AREA 0,6,30,1,153.6,153.18,0.35,0.0,sky130_fd_sc_ms,4,4 -13,APU,APU,config_TEST_SW_MS,flow_completed,0h28m2s,0h17m23s,64099.112346379596,0.137956,22434.689321232858,45,589.04,3095,0,0,0,0,0,0,0,0,0,-1,-1,148444,29191,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,95159893,0.0,30.15,25.07,0.39,0.0,0.0,3068,3127,380,439,0,0,0,3095,86,28,103,170,344,251,52,709,683,867,26,212,1404,0,1616,58.8235294117647,17.0,17.0,AREA 0,6,35,1,153.6,153.18,0.4,0.0,sky130_fd_sc_ms,4,4 -14,synth_ram,synth_ram,config_TEST_SW_MS,flow_completed,0h56m27s,0h28m28s,51091.51479521851,0.2835,22991.18165784833,56,675.84,6518,0,0,0,0,0,0,0,3,0,-1,-1,461671,70347,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,250011549,0.0,46.6,35.65,1.75,0.47,0.0,6492,6578,2054,2140,0,0,0,6518,8,0,2,1,81,0,0,2080,2155,2080,8,308,2964,0,3272,20.0,50.0,50.0,AREA 0,5,45,1,153.6,153.18,0.5,0.0,sky130_fd_sc_ms,4,4 -15,ocs_blitter,ocs_blitter,config_TEST_SW_MS,flow_completed,1h10m5s,0h38m25s,65731.12250266835,0.304096,23005.89287593392,50,696.52,6996,0,0,0,0,0,0,0,10,0,-1,-1,461217,74948,-25.46,-25.46,-0.95,-3.35,-0.62,-4017.66,-4017.66,-1.49,-1.49,-0.83,275586619,0.0,41.77,34.98,1.94,0.18,0.0,6944,7088,439,583,0,0,0,6996,164,0,189,595,1116,745,127,471,962,1734,27,318,3218,0,3536,53.13496280552604,18.82,18.2,AREA 0,6,35,1,153.6,153.18,0.4,0.0,sky130_fd_sc_ms,4,4 -16,md5,md5,config_TEST_SW_MS,flow_completed,1h13m59s,0h47m46s,60813.11632940067,0.268786,21284.590715290233,48,679.65,5721,0,0,0,0,0,0,0,2,0,-1,-1,422229,60549,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,297801918,0.0,42.27,33.77,4.36,2.41,1.04,5599,5853,1175,1429,0,0,0,5721,80,0,137,240,1477,396,213,650,1208,1399,38,300,2812,0,3112,26.990553306342782,37.05,37.05,AREA 0,6,35,1,153.6,153.18,0.4,0.0,sky130_fd_sc_ms,4,4 -17,PPU,PPU,config_TEST_SW_MS,flow_completed,1h50m33s,0h41m44s,53353.710461596456,0.880726,10670.742092319291,29,781.84,9398,0,0,0,0,0,0,0,15,0,-1,-1,757554,105130,-14.41,-14.41,0.0,0.0,0.0,-3097.04,-3097.04,0.0,0.0,0.0,490897042,0.0,27.13,20.61,0.27,0.0,0.0,9339,9422,2898,2981,0,0,0,9398,53,8,55,72,460,113,21,2942,3193,3308,15,552,9589,0,10141,55.55555555555556,18.0,18.0,AREA 0,8,20,1,153.6,153.18,0.25,0.05,sky130_fd_sc_ms,4,4 -18,151,riscv_top,config_ms_first_rerun,flow_completed,5h55m7s,1h58m5s,51306.30314103015,7.1155,10261.26062820603,27,3516.29,73014,0,0,0,0,0,0,0,104,0,-1,-1,6946346,745081,-218.52,-218.52,0.0,-4.36,0.0,-3584012.0,-3584012.0,0.0,0.0,0.0,5144219293,0.0,26.64,22.33,2.16,0.25,0.0,72817,73152,23324,23659,0,0,0,73014,109,2216,286,1088,3839,426,120,20245,24812,25565,22,1590,79692,0,81282,20.0,50.0,50.0,DELAY 1,5,20,1,153.6,153.18,0.25,0.0,sky130_fd_sc_ms,4,4 -19,BM64,BM64,config_TEST_SW_MS,flow_completed,2h12m29s,0h58m46s,66654.02009860548,0.706384,11997.723617748987,28,772.67,8475,0,0,0,0,0,0,0,15,0,-1,-1,1118414,99877,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,992708780,0.0,39.57,38.65,3.38,0.64,0.0,7969,8990,785,1806,0,0,0,8475,26,0,12,341,2174,989,307,2573,1801,1293,33,492,7684,0,8176,50.0,20.0,20.0,AREA 0,6,18,1,153.6,153.18,0.23,0.0,sky130_fd_sc_ms,4,4 -20,genericfir,genericfir,config_TEST_SW_MS,flow_completed,2h15m51s,1h41m26s,49309.74167940392,0.42700600000000005,22189.383755731767,50,716.95,9475,0,0,0,0,0,0,0,1,0,-1,-1,404944,86906,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,253238877,0.0,30.39,25.74,0.17,0.04,0.0,12499,12551,6835,6887,0,0,0,9475,0,0,2001,3390,4008,3000,750,7560,3782,3780,6,380,4512,0,4892,100.0,10.0,10.0,AREA 0,7,45,1,153.6,153.18,0.5,0.1,sky130_fd_sc_ms,4,4 -21,y_huff,y_huff,config_TEST_SW_MS,flow_completed,2h42m30s,1h35m37s,60858.34695106217,0.58135,18257.50408531865,41,812.84,10614,0,0,0,0,0,0,0,15,0,-1,-1,1102653,119310,-3.05,-3.05,-0.26,-2.15,0.0,-4110.01,-4110.01,-4.11,-4.11,0.0,821915534,0.0,56.47,53.66,9.92,3.26,0.0,10646,11321,2383,3058,0,0,0,10614,237,0,146,384,1310,575,87,4679,4830,3153,16,446,6298,0,6744,88.1057268722467,11.35,11.35,AREA 0,6,30,1,153.6,153.18,0.35,0.15,sky130_fd_sc_ms,4,4 -22,des,des,config_TEST_SW_MS,flow_completed,2h50m6s,1h53m20s,73760.61336606245,0.618526,25816.214678121854,41,847.07,15968,0,0,0,0,0,0,0,16,0,-1,-1,775009,134488,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,625707695,0.0,38.63,32.8,4.37,0.57,0.0,15909,16090,1957,2138,0,0,0,15968,432,1984,48,448,12688,1792,256,768,1792,512,13,460,6610,0,7070,50.0,20.0,20.0,AREA 0,6,35,1,153.6,153.18,0.4,0.1,sky130_fd_sc_ms,4,4 -23,chacha,chacha,config_TEST_SW_MS,flow_completed,3h32m32s,1h58m52s,73441.85612208147,0.899596,18360.46403052037,37,960.43,16517,0,0,0,0,0,0,0,12,0,-1,-1,1593367,177597,-16.31,-16.31,0.0,-1.93,0.0,-5744.67,-5744.67,0.0,0.0,0.0,1301387439,0.0,48.09,43.66,15.45,7.03,0.0,16492,16561,2389,2458,0,0,0,16517,605,5,604,825,810,2011,971,3036,3035,3673,57,558,9833,0,10391,38.446751249519416,26.01,26.01,AREA 0,6,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_ms,4,4 -24,sha3,sha3,config_TEST_SW_MS,flow_completed,3h45m37s,2h48m38s,65164.22261072658,0.628785,22807.4779137543,46,879.33,14341,0,0,0,0,0,0,0,6,0,-1,-1,996805,147774,-3.81,-3.81,-0.83,-2.29,-1.05,-2173.91,-2173.91,-6.41,-6.41,-7.99,630411467,0.0,45.72,40.28,9.18,3.53,0.0,14316,14385,3031,3100,0,0,0,14341,911,0,893,238,878,848,435,3088,3162,3709,23,464,6784,0,7248,72.67441860465115,13.760000000000002,12.71,AREA 0,6,35,1,153.6,153.18,0.4,0.1,sky130_fd_sc_ms,4,4 -25,ldpcenc,ldpcenc,config_TEST_SW_MS,flow_completed,3h48m18s,1h59m23s,65395.085241789384,1.07635,16348.771310447346,39,1018.78,17597,0,0,0,0,0,0,0,37,0,-1,-1,1823259,206440,-43.49,-43.49,0.0,-1.34,0.0,-31647.8,-31647.8,0.0,0.0,0.0,1479133895,0.0,41.56,38.49,8.0,1.48,0.0,17578,17633,1527,1582,0,0,0,17597,103,94,990,79,2098,1958,84,1265,2487,2565,13,610,11664,0,12274,77.51937984496124,12.9,12.9,AREA 0,6,25,1,153.6,153.18,0.3,0.0,sky130_fd_sc_ms,4,4 -26,blabla,blabla,config_TEST_SW_MS,flow_completed,4h2m49s,1h29m48s,77823.52137431077,1.268946,10117.0577786604,23,950.33,12838,0,0,0,0,0,0,0,25,0,-1,-1,2386137,154714,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,2190273557,0.0,49.17,50.56,14.26,4.56,0.0,12337,13744,1105,2512,0,0,0,12838,654,5,575,813,507,2076,906,2190,2894,2059,57,664,13859,0,14523,15.384615384615385,65.0,65.0,AREA 0,5,13,1,153.6,153.18,0.18,0.1,sky130_fd_sc_ms,4,4 -27,aes_cipher,aes_cipher,config_TEST_SW_MS,flow_completed,4h7m23s,3h3m21s,87607.93116348673,0.66825,21901.982790871683,37,923.2,14636,0,0,0,0,0,0,0,7,0,-1,-1,1283599,167888,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,1051940191,0.0,47.16,42.81,8.83,3.0,0.0,14516,14897,411,792,0,0,0,14636,461,386,73,357,18246,848,301,148,659,660,18,478,7228,0,7706,99.10802775024777,10.09,10.09,AREA 0,5,25,1,153.6,153.18,0.3,0.0,sky130_fd_sc_ms,4,4 -28,salsa20,salsa20,config_TEST_SW_MS,flow_completed,4h32m49s,2h43m1s,66309.70544950872,1.478592,13261.941089901746,29,1087.98,19609,0,0,0,0,0,0,0,41,0,-1,-1,2226775,218972,-2.89,-2.89,0.0,0.0,0.0,-121.11,-121.11,0.0,0.0,0.0,1893451890,0.0,38.72,32.56,7.38,2.29,0.0,19584,19653,4073,4142,0,0,0,19609,518,5,615,755,1608,2268,769,7341,5004,4742,60,718,16241,0,16959,27.225701061802344,36.73,36.73,AREA 0,6,20,1,153.6,153.18,0.25,0.0,sky130_fd_sc_ms,4,4 -29,aes,aes,config_TEST_SW_MS,flow_completed,4h42m9s,2h56m26s,63387.451214559114,1.487124,12677.490242911823,30,1112.72,18853,0,0,0,0,0,0,0,14,0,-1,-1,1795038,223448,-4.22,-4.22,-1.13,-5.37,0.0,-11535.69,-11535.69,-49.18,-49.18,0.0,1313885597,0.0,33.33,27.31,1.82,0.23,0.05,18828,18897,3136,3205,0,0,0,18853,219,0,88,346,8956,2179,691,3103,4034,4222,18,720,16288,0,17008,38.610038610038615,25.9,25.9,AREA 0,8,20,1,153.6,153.18,0.25,0.0,sky130_fd_sc_ms,4,4 -30,sha512,sha512,config_TEST_SW_MS,flow_completed,4h45m34s,2h17m47s,63368.029139714235,1.511614,15842.007284928559,37,1223.31,23947,0,0,0,0,0,0,0,21,0,-1,-1,2365626,284200,-62.23,-62.23,0.0,0.0,0.0,-70592.38,-70592.38,0.0,0.0,0.0,1734123324,0.0,42.52,44.03,3.67,7.71,0.0,23922,23991,5703,5772,0,0,0,23947,624,0,429,1853,4118,1682,1301,3667,6372,7289,44,726,16603,0,17329,25.464731347084285,39.27,39.27,AREA 0,10,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_ms,4,4 -31,aes_core,aes_core,config_TEST_SW_MS,flow_completed,4h52m1s,3h26m32s,66708.18980310406,1.101394,16677.047450776015,38,1085.06,18368,0,0,0,0,0,0,0,28,0,-1,-1,2043650,231553,-3.26,-3.26,0.0,-1.36,0.0,-7218.86,-7218.86,0.0,0.0,0.0,1614448438,0.0,47.8,40.17,9.63,1.47,0.0,18249,18758,2490,2999,0,0,0,18368,303,0,175,343,8961,2035,834,2717,3710,3696,19,618,11971,0,12589,46.992481203007515,21.28,21.28,AREA 0,6,25,1,153.6,153.18,0.3,0.0,sky130_fd_sc_ms,4,4 -32,aes128,aes128,config_TEST_SW_MS,flow_completed,5h9m38s,2h36m15s,68253.7385114376,2.431984,17063.4346278594,31,1411.49,41498,0,0,0,0,0,0,0,58,0,-1,-1,2604503,334548,0.0,0.0,0.0,-0.08,0.0,0.0,0.0,0.0,0.0,0.0,2291469759,0.0,34.97,26.44,5.12,0.03,0.0,41374,41755,10452,10833,0,0,0,41498,0,5568,65,1223,1198,17800,6545,800,6496,6736,29,924,26908,0,27832,87.48906386701663,11.43,11.43,AREA 0,7,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_ms,4,4 -33,aes192,aes192,config_TEST_SW_MS,flow_completed,5h55m41s,3h21m32s,64932.29093955046,3.5815,12986.458187910093,26,1743.54,46511,0,0,0,0,0,0,0,51,0,-1,-1,3416413,380386,0.0,0.0,0.0,-0.09,0.0,0.0,0.0,0.0,0.0,0.0,3044031058,0.0,30.64,24.34,4.15,0.18,0.0,46387,46832,13036,13481,0,0,0,46511,14,7968,55,1831,1158,19939,7390,684,7660,6689,31,1124,39758,0,40882,80.84074373484236,12.37,12.37,AREA 0,9,20,1,153.6,153.18,0.25,0.1,sky130_fd_sc_ms,4,4 -34,aes256,aes256,config_TEST_SW_MS,flow_completed,6h14m22s,3h46m40s,64481.40577537214,3.113404,19344.42173261164,36,1773.87,60227,0,0,0,0,0,0,0,83,0,-1,-1,4025054,480295,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,3532346146,0.0,32.28,36.12,1.15,4.37,0.0,60103,60612,17643,18152,0,0,0,60227,0,11072,77,1733,1656,24387,9321,1104,9232,9031,29,1048,34710,0,35758,66.66666666666667,15.0,15.0,AREA 0,9,30,1,153.6,153.18,0.35,0.05,sky130_fd_sc_ms,4,4 -35,point_add,point_add,config_TEST_SW_MS,flow_completed,6h40m46s,4h47m34s,77397.15332780207,2.613016,19349.288331950516,35,1727.14,50560,0,0,0,0,0,0,0,43,0,-1,-1,4442831,493596,-26.98,-26.98,-1.02,-2.87,-0.35,-60175.49,-60175.49,-5.27,-5.27,-0.53,3444457827,0.0,48.35,42.02,13.85,16.08,0.22,50182,51340,6131,7289,0,0,0,50560,857,1149,640,3041,18519,579,3,6546,10796,12400,17,958,28856,0,29814,96.61835748792271,10.35,10.0,AREA 0,5,25,1,153.6,153.18,0.3,0.15,sky130_fd_sc_ms,4,4 -36,point_scalar_mult,point_scalar_mult,config_TEST_SW_MS,flow_completed,6h49m21s,4h45m49s,75526.5138490796,2.925754,18881.6284622699,35,1814.84,55243,0,0,0,0,0,0,0,66,0,-1,-1,4515721,523586,-26.98,-26.98,-2.52,-5.68,-1.76,-64180.44,-64180.44,-298.98,-298.98,-171.14,3739646447,0.0,42.02,37.94,7.78,0.95,0.0,54863,55785,7456,8378,0,0,0,55243,253,1149,788,3213,18054,582,0,8651,12661,13726,17,1014,32317,0,33331,85.03401360544218,11.76,10.0,AREA 0,5,25,1,153.6,153.18,0.3,0.05,sky130_fd_sc_ms,4,4 -37,jpeg_encoder,jpeg_encoder,config_TEST_SW_MS,flow_completed,7h50m53s,5h35m28s,76947.72442822355,3.7888059999999997,15389.544885644711,26,1985.55,58308,0,0,0,0,0,0,0,91,19,-1,-1,5106939,573412,-19.99,-19.99,0.0,-0.61,0.0,-31249.91,-31249.91,0.0,0.0,0.0,4224431012,0.0,34.71,29.75,5.77,1.66,0.0,58293,58329,4381,4417,0,0,0,58308,3839,1,2296,5188,7634,15175,5614,4439,6723,6061,37,1156,42046,0,43202,63.37135614702155,15.78,15.78,AREA 0,6,20,1,153.6,153.18,0.25,0.0,sky130_fd_sc_ms,4,4 -38,y_dct,y_dct,config_TEST_SW_MS,flow_completed,8h49m56s,4h59m8s,63827.69530585051,6.132996,12765.539061170102,27,3022.7,78291,0,0,0,0,0,0,0,85,0,-1,-1,6663424,635607,0.0,0.0,0.0,-0.06,0.0,0.0,0.0,0.0,0.0,0.0,5953132013,0.0,29.53,26.21,1.56,0.32,0.0,100762,101409,4703,5350,0,0,0,78291,3238,72,1227,3318,3941,3531,161,10172,31779,27586,20,1476,68812,0,70288,48.732943469785575,20.52,20.52,AREA 0,5,20,1,153.6,153.18,0.25,0.0,sky130_fd_sc_ms,4,4 -39,ldpc_decoder_802_3an,ldpc_decoder_802_3an,config_TEST_SW_MS,flow_failed,12h38m18s,-1,-961830000000.0,-1e-06,-96183000000.0,23,-1.0,96183,-1,-1,-1,-1,-1,-1,-1,-1,0,-1,-1,-1,-1,-49.11,-49.11,0.0,0.0,0.0,-100572.38,-100572.38,0.0,0.0,0.0,34191408588,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,94140,98234,2054,6148,0,0,0,96183,0,0,32,0,6519,19649,4544,2048,4098,6146,22,1998,125617,0,127615,12.987012987012987,77.0,77.0,DELAY 0,6,10,1,153.6,153.18,0.15,0.0,sky130_fd_sc_ms,4,4 -40,sound,sound,config_TEST_SW_MS,flow_completed,24h7m15s,9h10m48s,70464.76945944717,11.387194000000001,17616.192364861792,35,6386.77,200599,0,0,0,0,0,0,0,105,0,-1,-1,12019007,2071395,-1.65,-1.65,0.0,0.0,0.0,-20262.3,-20262.3,0.0,0.0,0.0,8273946298,0.0,30.1,23.76,0.65,0.03,0.0,200564,200681,200564,200681,0,0,0,200599,11691,1896,10906,21307,46996,25037,13741,15776,1102,1650,30,2014,128133,0,130147,7.308338814587444,136.83,136.83,AREA 0,9,25,1,153.6,153.18,0.3,0.0,sky130_fd_sc_ms,4,4 -41,des3,des3,config_TEST_SW_MS,flow_failed,45h47m6s,4h49m50s,70663.75259706432,3.5588260000000003,14132.750519412864,25,1798.6,50296,0,0,0,0,0,0,-1,-1,0,-1,-1,2860419,432922,-16.14,-16.14,-15.75,-20.83,-14.0,-25364.54,-25364.54,-11820.63,-11820.63,-9982.6,2274053744,0.0,24.27,21.81,2.1,1.01,0.03,50239,50530,8959,9250,0,0,0,50296,1344,8808,144,1344,38112,5376,768,2416,5376,1536,14,1120,39615,0,40735,46.317739694302915,21.59,7.59,AREA 0,7,20,1,153.6,153.18,0.25,0.1,sky130_fd_sc_ms,4,4 +,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GRT_ADJUSTMENT,STD_CELL_LIBRARY,DIODE_INSERTION_STRATEGY +0,digital_pll_sky130_fd_sc_hd,digital_pll,config_TEST_SW_MS,flow_failed,0h0m18s,-1,2040816.32653061,-0.000001,1000000,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,0,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,59.5238095238095,16.8,15.8,AREA 0,6,49,1,153.6,153.18,0.54,0,sky130_fd_sc_ms,4 +1,inverter,inverter,config_TEST_SW_MS,flow_completed,0h3m34s,0h3m9s,1089.32461873638,0.001836,544.662309368192,5,380.31,1,0,0,0,0,0,0,0,0,0,-1,-1,75,13,0,0,0,0,0,0,0,0,0,0,-1,0,1.13,0.97,0,0,0,2,2,2,2,0,0,0,1,0,0,0,0,0,0,0,0,1,1,1,16,5,0,21,100,10,10,AREA 0,5,50,1,153.6,153.18,0.75,0,sky130_fd_sc_ms,4 +2,manual_macro_placement_test,manual_macro_placement_test,config_TEST_SW_MS,flow_completed,0h4m20s,0h3m23s,56.0092303211569,0.102024,19.6032306124049,1,419.59,2,0,0,0,0,0,0,0,1,0,-1,-1,20071,494,0,0,0,0,0,0,0,0,0,0,-1,0,3.64,4.62,3.38,4.6,0.05,10,72,10,72,0,0,0,2,0,0,0,0,0,0,0,0,-1,-1,-1,180,563,0,743,10,100,100,AREA 0,5,35,1,30,153.18,0.35,0,sky130_fd_sc_ms,0 +3,zipdiv,zipdiv,config_ms_first_rerun,flow_completed,0h5m50s,0h5m16s,63539.1295139257,0.048564,22238.695329874,45,532.21,1080,0,0,0,0,0,0,0,0,0,-1,-1,49792,10082,0,0,0,0,0,0,0,0,0,0,36935915,0,33.18,29.54,1.45,0.37,1.12,1052,1148,118,214,0,0,0,1080,46,0,3,43,165,146,14,241,273,273,31,120,463,0,583,52.3834468308015,19.09,19.09,AREA 0,6,35,1,153.6,153.18,0.4,0.15,sky130_fd_sc_ms,4 +4,xtea,xtea,config_ms_first_rerun,flow_completed,0h7m11s,0h5m47s,70333.4293218845,0.098226,24616.7002626596,46,555.43,2418,0,0,0,0,0,0,0,0,0,-1,-1,148457,23757,0,0,0,0,0,0,0,0,0,0,116170275,0,46.95,43.85,2.94,0.8,0,2362,2613,123,374,0,0,0,2418,16,0,54,226,390,436,121,174,468,615,28,176,988,0,1164,38.4172109104879,26.03,26.03,AREA 0,6,35,1,153.6,153.18,0.4,0.15,sky130_fd_sc_ms,4 +5,s44,lut_s44,config_TEST_SW_MS,flow_completed,0h7m38s,0h6m55s,44683.9874700571,0.043416,2234.19937350286,7,415.57,97,0,0,0,0,0,0,0,0,0,-1,-1,6635,1047,0,0,0,0,0,0,0,0,0,0,5279922,0,3.92,3.65,0,0,0,94,114,38,58,0,0,0,97,0,0,0,0,0,0,0,32,41,32,4,114,411,0,525,33.3333333333333,30,30,AREA 0,5,5,1,153.6,153.18,0.5,0,sky130_fd_sc_ms,4 +6,usb,usb,config_TEST_SW_MS,flow_completed,0h10m42s,0h9m20s,50657.7038398298,0.041356,20263.0815359319,46,474.92,838,0,0,0,0,0,0,0,0,0,-1,-1,31480,7252,0,0,0,0,0,0,0,0,0,0,19648073,0,21.43,18.15,0,0,0,837,861,200,224,0,0,0,838,22,8,43,40,206,49,6,176,242,251,12,110,370,0,480,79.6812749003984,12.55,12.55,AREA 0,6,40,1,153.6,153.18,0.45,0,sky130_fd_sc_ms,4 +7,usbf_device,usbf_device,config_ms_first_rerun,flow_completed,0h32m4s,0h21m30s,63137.7101379413,0.609897,25255.0840551765,48,864.05,15403,0,0,0,0,0,0,0,4,0,-1,-1,942002,146576,0,0,0,0,0,0,0,0,0,0,655577528,0,39.61,36.82,2.71,0.99,0,15378,15524,3775,3921,0,0,0,15403,675,0,362,157,4505,280,61,3692,4004,4615,14,456,6553,0,7009,68.4931506849315,14.6,14.6,AREA 0,6,40,1,153.6,153.18,0.45,0,sky130_fd_sc_ms,4 +8,picorv32a,picorv32a,config_ms_first_rerun,flow_completed,0h29m7s,0h17m3s,71042.055008927,0.678096,21312.6165026781,41,865.31,14452,0,0,0,0,0,0,0,13,0,-1,-1,1059842,144473,0,0,0,0,0,0,0,0,0,0,837264100,0,46.02,40.22,8.81,1.47,0,14172,14554,1565,1947,0,0,0,14452,1159,227,896,560,2391,2462,615,1664,2132,2900,134,482,7288,0,7770,40.4367165386171,24.73,24.73,AREA 0,6,30,1,153.6,153.18,0.35,0.1,sky130_fd_sc_ms,4 +9,cic_decimator,cic_decimator,config_TEST_SW_MS,flow_completed,0h11m16s,0h9m43s,62959.8189020939,0.031806,25183.9275608376,49,492.05,801,0,0,0,0,0,0,0,0,0,-1,-1,31216,7115,0,0,0,0,0,0,0,0,0,0,20078090,0,27.47,23.58,0.62,0,0,790,823,119,152,0,0,0,801,15,0,21,51,90,92,51,220,131,112,16,94,269,0,363,100,10,10,AREA 0,6,40,1,15,15,0.45,0,sky130_fd_sc_ms,4 +10,spm,spm,config_TEST_SW_MS,flow_completed,0h11m26s,0h10m46s,42597.4025974026,0.01375,20872.7272727273,54,474.69,287,0,0,0,0,0,0,0,0,0,-1,-1,8827,2179,0,0,0,0,0,0,0,0,0,0,5805510,0,17.17,16.28,0,0,0,291,322,39,70,0,0,0,287,32,0,31,31,1,63,31,0,97,128,4,58,108,0,166,100,10,10,AREA 0,5,49,1,153.6,153.18,0.54,0,sky130_fd_sc_ms,4 +11,usb_cdc_core,usb_cdc_core,config_TEST_SW_MS,flow_completed,0h23m39s,0h18m14s,63689.7817706372,0.072574,28660.4017967867,52,539.7,2080,0,0,0,0,0,0,0,1,0,-1,-1,79946,16384,0,0,0,0,0,0,0,0,0,0,52392919,0,31.18,25.45,0.36,0.03,0,2076,2107,314,345,0,0,0,2080,99,0,110,89,676,130,41,252,365,683,19,150,693,0,843,64.1025641025641,15.6,15.6,AREA 0,6,45,1,153.6,153.18,0.5,0,sky130_fd_sc_ms,4 +12,wbqspiflash,wbqspiflash,config_TEST_SW_MS,flow_completed,0h26m2s,0h17m1s,69700.3947777942,0.122094,20910.1184333382,41,562.64,2553,0,0,0,0,0,0,0,0,0,-1,-1,148278,25066,0,0,0,0,0,0,0,0,0,0,106595018,0,34.15,27.83,0.99,0,0,2526,2614,264,352,0,0,0,2553,55,1,147,155,796,79,6,376,656,885,20,198,1212,0,1410,53.0222693531283,18.86,18.86,AREA 0,6,30,1,153.6,153.18,0.35,0,sky130_fd_sc_ms,4 +13,APU,APU,config_TEST_SW_MS,flow_completed,0h28m2s,0h17m23s,64099.1123463796,0.137956,22434.6893212329,45,589.04,3095,0,0,0,0,0,0,0,0,0,-1,-1,148444,29191,0,0,0,0,0,0,0,0,0,0,95159893,0,30.15,25.07,0.39,0,0,3068,3127,380,439,0,0,0,3095,86,28,103,170,344,251,52,709,683,867,26,212,1404,0,1616,58.8235294117647,17,17,AREA 0,6,35,1,153.6,153.18,0.4,0,sky130_fd_sc_ms,4 +14,synth_ram,synth_ram,config_TEST_SW_MS,flow_completed,0h56m27s,0h28m28s,51091.5147952185,0.2835,22991.1816578483,56,675.84,6518,0,0,0,0,0,0,0,3,0,-1,-1,461671,70347,0,0,0,0,0,0,0,0,0,0,250011549,0,46.6,35.65,1.75,0.47,0,6492,6578,2054,2140,0,0,0,6518,8,0,2,1,81,0,0,2080,2155,2080,8,308,2964,0,3272,20,50,50,AREA 0,5,45,1,153.6,153.18,0.5,0,sky130_fd_sc_ms,4 +15,ocs_blitter,ocs_blitter,config_TEST_SW_MS,flow_completed,1h10m5s,0h38m25s,65731.1225026684,0.304096,23005.8928759339,50,696.52,6996,0,0,0,0,0,0,0,10,0,-1,-1,461217,74948,-25.46,-25.46,-0.95,-3.35,-0.62,-4017.66,-4017.66,-1.49,-1.49,-0.83,275586619,0,41.77,34.98,1.94,0.18,0,6944,7088,439,583,0,0,0,6996,164,0,189,595,1116,745,127,471,962,1734,27,318,3218,0,3536,53.134962805526,18.82,18.2,AREA 0,6,35,1,153.6,153.18,0.4,0,sky130_fd_sc_ms,4 +16,md5,md5,config_TEST_SW_MS,flow_completed,1h13m59s,0h47m46s,60813.1163294007,0.268786,21284.5907152902,48,679.65,5721,0,0,0,0,0,0,0,2,0,-1,-1,422229,60549,0,0,0,0,0,0,0,0,0,0,297801918,0,42.27,33.77,4.36,2.41,1.04,5599,5853,1175,1429,0,0,0,5721,80,0,137,240,1477,396,213,650,1208,1399,38,300,2812,0,3112,26.9905533063428,37.05,37.05,AREA 0,6,35,1,153.6,153.18,0.4,0,sky130_fd_sc_ms,4 +17,PPU,PPU,config_TEST_SW_MS,flow_completed,1h50m33s,0h41m44s,53353.7104615965,0.880726,10670.7420923193,29,781.84,9398,0,0,0,0,0,0,0,15,0,-1,-1,757554,105130,-14.41,-14.41,0,0,0,-3097.04,-3097.04,0,0,0,490897042,0,27.13,20.61,0.27,0,0,9339,9422,2898,2981,0,0,0,9398,53,8,55,72,460,113,21,2942,3193,3308,15,552,9589,0,10141,55.5555555555556,18,18,AREA 0,8,20,1,153.6,153.18,0.25,0.05,sky130_fd_sc_ms,4 +18,151,riscv_top,config_ms_first_rerun,flow_completed,5h55m7s,1h58m5s,51306.3031410302,7.1155,10261.260628206,27,3516.29,73014,0,0,0,0,0,0,0,104,0,-1,-1,6946346,745081,-218.52,-218.52,0,-4.36,0,-3584012,-3584012,0,0,0,5144219293,0,26.64,22.33,2.16,0.25,0,72817,73152,23324,23659,0,0,0,73014,109,2216,286,1088,3839,426,120,20245,24812,25565,22,1590,79692,0,81282,20,50,50,DELAY 1,5,20,1,153.6,153.18,0.25,0,sky130_fd_sc_ms,4 +19,BM64,BM64,config_TEST_SW_MS,flow_completed,2h12m29s,0h58m46s,66654.0200986055,0.706384,11997.723617749,28,772.67,8475,0,0,0,0,0,0,0,15,0,-1,-1,1118414,99877,0,0,0,0,0,0,0,0,0,0,992708780,0,39.57,38.65,3.38,0.64,0,7969,8990,785,1806,0,0,0,8475,26,0,12,341,2174,989,307,2573,1801,1293,33,492,7684,0,8176,50,20,20,AREA 0,6,18,1,153.6,153.18,0.23,0,sky130_fd_sc_ms,4 +20,genericfir,genericfir,config_TEST_SW_MS,flow_completed,2h15m51s,1h41m26s,49309.7416794039,0.427006,22189.3837557318,50,716.95,9475,0,0,0,0,0,0,0,1,0,-1,-1,404944,86906,0,0,0,0,0,0,0,0,0,0,253238877,0,30.39,25.74,0.17,0.04,0,12499,12551,6835,6887,0,0,0,9475,0,0,2001,3390,4008,3000,750,7560,3782,3780,6,380,4512,0,4892,100,10,10,AREA 0,7,45,1,153.6,153.18,0.5,0.1,sky130_fd_sc_ms,4 +21,y_huff,y_huff,config_TEST_SW_MS,flow_completed,2h42m30s,1h35m37s,60858.3469510622,0.58135,18257.5040853187,41,812.84,10614,0,0,0,0,0,0,0,15,0,-1,-1,1102653,119310,-3.05,-3.05,-0.26,-2.15,0,-4110.01,-4110.01,-4.11,-4.11,0,821915534,0,56.47,53.66,9.92,3.26,0,10646,11321,2383,3058,0,0,0,10614,237,0,146,384,1310,575,87,4679,4830,3153,16,446,6298,0,6744,88.1057268722467,11.35,11.35,AREA 0,6,30,1,153.6,153.18,0.35,0.15,sky130_fd_sc_ms,4 +22,des,des,config_TEST_SW_MS,flow_completed,2h50m6s,1h53m20s,73760.6133660625,0.618526,25816.2146781219,41,847.07,15968,0,0,0,0,0,0,0,16,0,-1,-1,775009,134488,0,0,0,0,0,0,0,0,0,0,625707695,0,38.63,32.8,4.37,0.57,0,15909,16090,1957,2138,0,0,0,15968,432,1984,48,448,12688,1792,256,768,1792,512,13,460,6610,0,7070,50,20,20,AREA 0,6,35,1,153.6,153.18,0.4,0.1,sky130_fd_sc_ms,4 +23,chacha,chacha,config_TEST_SW_MS,flow_completed,3h32m32s,1h58m52s,73441.8561220815,0.899596,18360.4640305204,37,960.43,16517,0,0,0,0,0,0,0,12,0,-1,-1,1593367,177597,-16.31,-16.31,0,-1.93,0,-5744.67,-5744.67,0,0,0,1301387439,0,48.09,43.66,15.45,7.03,0,16492,16561,2389,2458,0,0,0,16517,605,5,604,825,810,2011,971,3036,3035,3673,57,558,9833,0,10391,38.4467512495194,26.01,26.01,AREA 0,6,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_ms,4 +24,sha3,sha3,config_TEST_SW_MS,flow_completed,3h45m37s,2h48m38s,65164.2226107266,0.628785,22807.4779137543,46,879.33,14341,0,0,0,0,0,0,0,6,0,-1,-1,996805,147774,-3.81,-3.81,-0.83,-2.29,-1.05,-2173.91,-2173.91,-6.41,-6.41,-7.99,630411467,0,45.72,40.28,9.18,3.53,0,14316,14385,3031,3100,0,0,0,14341,911,0,893,238,878,848,435,3088,3162,3709,23,464,6784,0,7248,72.6744186046512,13.76,12.71,AREA 0,6,35,1,153.6,153.18,0.4,0.1,sky130_fd_sc_ms,4 +25,ldpcenc,ldpcenc,config_TEST_SW_MS,flow_completed,3h48m18s,1h59m23s,65395.0852417894,1.07635,16348.7713104473,39,1018.78,17597,0,0,0,0,0,0,0,37,0,-1,-1,1823259,206440,-43.49,-43.49,0,-1.34,0,-31647.8,-31647.8,0,0,0,1479133895,0,41.56,38.49,8,1.48,0,17578,17633,1527,1582,0,0,0,17597,103,94,990,79,2098,1958,84,1265,2487,2565,13,610,11664,0,12274,77.5193798449612,12.9,12.9,AREA 0,6,25,1,153.6,153.18,0.3,0,sky130_fd_sc_ms,4 +26,blabla,blabla,config_TEST_SW_MS,flow_completed,4h2m49s,1h29m48s,77823.5213743108,1.268946,10117.0577786604,23,950.33,12838,0,0,0,0,0,0,0,25,0,-1,-1,2386137,154714,0,0,0,0,0,0,0,0,0,0,2190273557,0,49.17,50.56,14.26,4.56,0,12337,13744,1105,2512,0,0,0,12838,654,5,575,813,507,2076,906,2190,2894,2059,57,664,13859,0,14523,15.3846153846154,65,65,AREA 0,5,13,1,153.6,153.18,0.18,0.1,sky130_fd_sc_ms,4 +27,aes_cipher,aes_cipher,config_TEST_SW_MS,flow_completed,4h7m23s,3h3m21s,87607.9311634867,0.66825,21901.9827908717,37,923.2,14636,0,0,0,0,0,0,0,7,0,-1,-1,1283599,167888,0,0,0,0,0,0,0,0,0,0,1051940191,0,47.16,42.81,8.83,3,0,14516,14897,411,792,0,0,0,14636,461,386,73,357,18246,848,301,148,659,660,18,478,7228,0,7706,99.1080277502478,10.09,10.09,AREA 0,5,25,1,153.6,153.18,0.3,0,sky130_fd_sc_ms,4 +28,salsa20,salsa20,config_TEST_SW_MS,flow_completed,4h32m49s,2h43m1s,66309.7054495087,1.478592,13261.9410899017,29,1087.98,19609,0,0,0,0,0,0,0,41,0,-1,-1,2226775,218972,-2.89,-2.89,0,0,0,-121.11,-121.11,0,0,0,1893451890,0,38.72,32.56,7.38,2.29,0,19584,19653,4073,4142,0,0,0,19609,518,5,615,755,1608,2268,769,7341,5004,4742,60,718,16241,0,16959,27.2257010618023,36.73,36.73,AREA 0,6,20,1,153.6,153.18,0.25,0,sky130_fd_sc_ms,4 +29,aes,aes,config_TEST_SW_MS,flow_completed,4h42m9s,2h56m26s,63387.4512145591,1.487124,12677.4902429118,30,1112.72,18853,0,0,0,0,0,0,0,14,0,-1,-1,1795038,223448,-4.22,-4.22,-1.13,-5.37,0,-11535.69,-11535.69,-49.18,-49.18,0,1313885597,0,33.33,27.31,1.82,0.23,0.05,18828,18897,3136,3205,0,0,0,18853,219,0,88,346,8956,2179,691,3103,4034,4222,18,720,16288,0,17008,38.6100386100386,25.9,25.9,AREA 0,8,20,1,153.6,153.18,0.25,0,sky130_fd_sc_ms,4 +30,sha512,sha512,config_TEST_SW_MS,flow_completed,4h45m34s,2h17m47s,63368.0291397142,1.511614,15842.0072849286,37,1223.31,23947,0,0,0,0,0,0,0,21,0,-1,-1,2365626,284200,-62.23,-62.23,0,0,0,-70592.38,-70592.38,0,0,0,1734123324,0,42.52,44.03,3.67,7.71,0,23922,23991,5703,5772,0,0,0,23947,624,0,429,1853,4118,1682,1301,3667,6372,7289,44,726,16603,0,17329,25.4647313470843,39.27,39.27,AREA 0,10,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_ms,4 +31,aes_core,aes_core,config_TEST_SW_MS,flow_completed,4h52m1s,3h26m32s,66708.1898031041,1.101394,16677.047450776,38,1085.06,18368,0,0,0,0,0,0,0,28,0,-1,-1,2043650,231553,-3.26,-3.26,0,-1.36,0,-7218.86,-7218.86,0,0,0,1614448438,0,47.8,40.17,9.63,1.47,0,18249,18758,2490,2999,0,0,0,18368,303,0,175,343,8961,2035,834,2717,3710,3696,19,618,11971,0,12589,46.9924812030075,21.28,21.28,AREA 0,6,25,1,153.6,153.18,0.3,0,sky130_fd_sc_ms,4 +32,aes128,aes128,config_TEST_SW_MS,flow_completed,5h9m38s,2h36m15s,68253.7385114376,2.431984,17063.4346278594,31,1411.49,41498,0,0,0,0,0,0,0,58,0,-1,-1,2604503,334548,0,0,0,-0.08,0,0,0,0,0,0,2291469759,0,34.97,26.44,5.12,0.03,0,41374,41755,10452,10833,0,0,0,41498,0,5568,65,1223,1198,17800,6545,800,6496,6736,29,924,26908,0,27832,87.4890638670166,11.43,11.43,AREA 0,7,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_ms,4 +33,aes192,aes192,config_TEST_SW_MS,flow_completed,5h55m41s,3h21m32s,64932.2909395505,3.5815,12986.4581879101,26,1743.54,46511,0,0,0,0,0,0,0,51,0,-1,-1,3416413,380386,0,0,0,-0.09,0,0,0,0,0,0,3044031058,0,30.64,24.34,4.15,0.18,0,46387,46832,13036,13481,0,0,0,46511,14,7968,55,1831,1158,19939,7390,684,7660,6689,31,1124,39758,0,40882,80.8407437348424,12.37,12.37,AREA 0,9,20,1,153.6,153.18,0.25,0.1,sky130_fd_sc_ms,4 +34,aes256,aes256,config_TEST_SW_MS,flow_completed,6h14m22s,3h46m40s,64481.4057753721,3.113404,19344.4217326116,36,1773.87,60227,0,0,0,0,0,0,0,83,0,-1,-1,4025054,480295,0,0,0,0,0,0,0,0,0,0,3532346146,0,32.28,36.12,1.15,4.37,0,60103,60612,17643,18152,0,0,0,60227,0,11072,77,1733,1656,24387,9321,1104,9232,9031,29,1048,34710,0,35758,66.6666666666667,15,15,AREA 0,9,30,1,153.6,153.18,0.35,0.05,sky130_fd_sc_ms,4 +35,point_add,point_add,config_TEST_SW_MS,flow_completed,6h40m46s,4h47m34s,77397.1533278021,2.613016,19349.2883319505,35,1727.14,50560,0,0,0,0,0,0,0,43,0,-1,-1,4442831,493596,-26.98,-26.98,-1.02,-2.87,-0.35,-60175.49,-60175.49,-5.27,-5.27,-0.53,3444457827,0,48.35,42.02,13.85,16.08,0.22,50182,51340,6131,7289,0,0,0,50560,857,1149,640,3041,18519,579,3,6546,10796,12400,17,958,28856,0,29814,96.6183574879227,10.35,10,AREA 0,5,25,1,153.6,153.18,0.3,0.15,sky130_fd_sc_ms,4 +36,point_scalar_mult,point_scalar_mult,config_TEST_SW_MS,flow_completed,6h49m21s,4h45m49s,75526.5138490796,2.925754,18881.6284622699,35,1814.84,55243,0,0,0,0,0,0,0,66,0,-1,-1,4515721,523586,-26.98,-26.98,-2.52,-5.68,-1.76,-64180.44,-64180.44,-298.98,-298.98,-171.14,3739646447,0,42.02,37.94,7.78,0.95,0,54863,55785,7456,8378,0,0,0,55243,253,1149,788,3213,18054,582,0,8651,12661,13726,17,1014,32317,0,33331,85.0340136054422,11.76,10,AREA 0,5,25,1,153.6,153.18,0.3,0.05,sky130_fd_sc_ms,4 +37,jpeg_encoder,jpeg_encoder,config_TEST_SW_MS,flow_completed,7h50m53s,5h35m28s,76947.7244282236,3.788806,15389.5448856447,26,1985.55,58308,0,0,0,0,0,0,0,91,19,-1,-1,5106939,573412,-19.99,-19.99,0,-0.61,0,-31249.91,-31249.91,0,0,0,4224431012,0,34.71,29.75,5.77,1.66,0,58293,58329,4381,4417,0,0,0,58308,3839,1,2296,5188,7634,15175,5614,4439,6723,6061,37,1156,42046,0,43202,63.3713561470216,15.78,15.78,AREA 0,6,20,1,153.6,153.18,0.25,0,sky130_fd_sc_ms,4 +38,y_dct,y_dct,config_TEST_SW_MS,flow_completed,8h49m56s,4h59m8s,63827.6953058505,6.132996,12765.5390611701,27,3022.7,78291,0,0,0,0,0,0,0,85,0,-1,-1,6663424,635607,0,0,0,-0.06,0,0,0,0,0,0,5953132013,0,29.53,26.21,1.56,0.32,0,100762,101409,4703,5350,0,0,0,78291,3238,72,1227,3318,3941,3531,161,10172,31779,27586,20,1476,68812,0,70288,48.7329434697856,20.52,20.52,AREA 0,5,20,1,153.6,153.18,0.25,0,sky130_fd_sc_ms,4 +39,ldpc_decoder_802_3an,ldpc_decoder_802_3an,config_TEST_SW_MS,flow_failed,12h38m18s,-1,-961830000000,-0.000001,-96183000000,23,-1,96183,-1,-1,-1,-1,-1,-1,-1,-1,0,-1,-1,-1,-1,-49.11,-49.11,0,0,0,-100572.38,-100572.38,0,0,0,34191408588,-1,-1,-1,-1,-1,-1,94140,98234,2054,6148,0,0,0,96183,0,0,32,0,6519,19649,4544,2048,4098,6146,22,1998,125617,0,127615,12.987012987013,77,77,DELAY 0,6,10,1,153.6,153.18,0.15,0,sky130_fd_sc_ms,4 +40,sound,sound,config_TEST_SW_MS,flow_completed,24h7m15s,9h10m48s,70464.7694594472,11.387194,17616.1923648618,35,6386.77,200599,0,0,0,0,0,0,0,105,0,-1,-1,12019007,2071395,-1.65,-1.65,0,0,0,-20262.3,-20262.3,0,0,0,8273946298,0,30.1,23.76,0.65,0.03,0,200564,200681,200564,200681,0,0,0,200599,11691,1896,10906,21307,46996,25037,13741,15776,1102,1650,30,2014,128133,0,130147,7.30833881458744,136.83,136.83,AREA 0,9,25,1,153.6,153.18,0.3,0,sky130_fd_sc_ms,4 +41,des3,des3,config_TEST_SW_MS,flow_failed,45h47m6s,4h49m50s,70663.7525970643,3.558826,14132.7505194129,25,1798.6,50296,0,0,0,0,0,0,-1,-1,0,-1,-1,2860419,432922,-16.14,-16.14,-15.75,-20.83,-14,-25364.54,-25364.54,-11820.63,-11820.63,-9982.6,2274053744,0,24.27,21.81,2.1,1.01,0.03,50239,50530,8959,9250,0,0,0,50296,1344,8808,144,1344,38112,5376,768,2416,5376,1536,14,1120,39615,0,40735,46.3177396943029,21.59,7.59,AREA 0,7,20,1,153.6,153.18,0.25,0.1,sky130_fd_sc_ms,4 diff --git a/regression_results/datapoint_definitions.md b/regression_results/datapoint_definitions.md index 78d428f21..0348d6ef7 100644 --- a/regression_results/datapoint_definitions.md +++ b/regression_results/datapoint_definitions.md @@ -96,10 +96,7 @@ | `FP_PDN_HPITCH` | The pitch of the horizontal power stripes on the metal layer 5 in the power distribution network
(Default: `153.18`) | | `PL_TARGET_DENSITY` | The desired placement density of cells. It reflects how spread the cells would be on the core area. 1 = closely dense. 0 = widely spread
(Default: `0.55`)| | `GRT_ADJUSTMENT` | Reduction in the routing capacity of the edges between the cells in the global routing graph. Values range from 0 to 1.
1 = most reduction, 0 = least reduction
(Default: `0.2`)| -| `STD_CELL_LIBRARY` | Specifies the standard cell library used.
(Default: `sky130_fd_sc_hd` )| -| `CELL_PAD` | Cell padding; increases the width of cells.
(Default: `2` microns -- 2 sites)| - - +| `STD_CELL_LIBRARY` | Specifies the standard cell library used.
(Default: `sky130_fd_sc_hd`) | ## Optional variables diff --git a/scripts/compare_regression_reports.py b/scripts/compare_regression_reports.py index 4f5578c79..d1194e994 100644 --- a/scripts/compare_regression_reports.py +++ b/scripts/compare_regression_reports.py @@ -76,7 +76,6 @@ def cli( "PL_TARGET_DENSITY", "GRT_ADJUSTMENT", "STD_CELL_LIBRARY", - "CELL_PAD", "DIODE_INSERTION_STRATEGY", ] diff --git a/scripts/config/config.py b/scripts/config/config.py index a323cf394..a9c73874e 100644 --- a/scripts/config/config.py +++ b/scripts/config/config.py @@ -37,7 +37,6 @@ class ConfigHandler: "PL_TARGET_DENSITY", "GRT_ADJUSTMENT", "STD_CELL_LIBRARY", - "CELL_PAD", "DIODE_INSERTION_STRATEGY", ] diff --git a/scripts/odbpy/remove_buffers.py b/scripts/odbpy/remove_buffers.py index 1467f5a2e..1ad9e9071 100644 --- a/scripts/odbpy/remove_buffers.py +++ b/scripts/odbpy/remove_buffers.py @@ -56,6 +56,7 @@ def get_nets(master_instance): ) @click_odb def remove_buffers(output, ports, input_lef, input_def): + ports = ports.split(";") reader = OdbReader(input_lef, input_def) design_nets = reader.block.getNets() diff --git a/scripts/openroad/antenna_check.tcl b/scripts/openroad/antenna_check.tcl index 7ff2f0b0e..d7a7fb9ad 100755 --- a/scripts/openroad/antenna_check.tcl +++ b/scripts/openroad/antenna_check.tcl @@ -12,7 +12,7 @@ # See the License for the specific language governing permissions and # limitations under the License. -if {[catch {read_lef $::env(MERGED_LEF_UNPADDED)} errmsg]} { +if {[catch {read_lef $::env(MERGED_LEF)} errmsg]} { puts stderr $errmsg exit 1 } diff --git a/scripts/openroad/basic_mp.tcl b/scripts/openroad/basic_mp.tcl index 34c6278fc..6ce88fce0 100755 --- a/scripts/openroad/basic_mp.tcl +++ b/scripts/openroad/basic_mp.tcl @@ -16,12 +16,12 @@ foreach lib $::env(LIB_SYNTH) { } if { [info exists ::env(EXTRA_LIBS) ] } { - foreach lib $::env(EXTRA_LIBS) { - read_liberty $lib - } + foreach lib $::env(EXTRA_LIBS) { + read_liberty $lib + } } -if {[catch {read_lef $::env(MERGED_LEF_UNPADDED)} errmsg]} { +if {[catch {read_lef $::env(MERGED_LEF)} errmsg]} { puts stderr $errmsg exit 1 } @@ -29,7 +29,7 @@ if {[catch {read_lef $::env(MERGED_LEF_UNPADDED)} errmsg]} { if {[catch {read_def $::env(CURRENT_DEF)} errmsg]} { puts stderr $errmsg exit 1 -} +} macro_placement\ -channel $::env(PL_MACRO_CHANNEL)\ diff --git a/scripts/openroad/cts.tcl b/scripts/openroad/cts.tcl index 3333e74b1..727b46727 100755 --- a/scripts/openroad/cts.tcl +++ b/scripts/openroad/cts.tcl @@ -17,12 +17,12 @@ foreach lib $::env(LIB_CTS) { } if { [info exists ::env(EXTRA_LIBS) ] } { - foreach lib $::env(EXTRA_LIBS) { - read_liberty $lib - } + foreach lib $::env(EXTRA_LIBS) { + read_liberty $lib + } } -if {[catch {read_lef $::env(MERGED_LEF_UNPADDED)} errmsg]} { +if {[catch {read_lef $::env(MERGED_LEF)} errmsg]} { puts stderr $errmsg exit 1 } @@ -37,7 +37,7 @@ read_sdc -echo $::env(CURRENT_SDC) set max_slew [expr {$::env(SYNTH_MAX_TRAN) * 1e-9}]; # must convert to seconds set max_cap [expr {$::env(CTS_MAX_CAP) * 1e-12}]; # must convert to farad # set rc values -source $::env(SCRIPTS_DIR)/openroad/set_rc.tcl +source $::env(SCRIPTS_DIR)/openroad/set_rc.tcl estimate_parasitics -placement # Clone clock tree inverters next to register loads @@ -59,7 +59,7 @@ lappend arg_list -buf_list $::env(CTS_CLK_BUFFER_LIST) lappend arg_list -root_buf $::env(CTS_ROOT_BUFFER) lappend arg_list -sink_clustering_size $::env(CTS_SINK_CLUSTERING_SIZE) lappend arg_list -sink_clustering_max_diameter $::env(CTS_SINK_CLUSTERING_MAX_DIAMETER) -lappend arg_list -sink_clustering_enable +lappend arg_list -sink_clustering_enable if { $::env(CTS_DISTANCE_BETWEEN_BUFFERS) != 0 } { lappend arg_list -distance_between_buffers $::env(CTS_DISTANCE_BETWEEN_BUFFERS) @@ -80,11 +80,11 @@ repair_clock_nets -max_wire_length $::env(CTS_CLK_MAX_WIRE_LENGTH) estimate_parasitics -placement write_def $::env(SAVE_DEF) - -set buffers "$::env(CTS_ROOT_BUFFER) $::env(CTS_CLK_BUFFER_LIST)" -set_placement_padding -masters $buffers -left $::env(CELL_PAD) puts "\[INFO\]: Legalizing..." +source $::env(SCRIPTS_DIR)/openroad/dpl_cell_pad.tcl + detailed_placement + if { [info exists ::env(PL_OPTIMIZE_MIRRORING)] && $::env(PL_OPTIMIZE_MIRRORING) } { optimize_mirroring } @@ -102,10 +102,10 @@ report_cts puts "cts_report_end" if {[info exists ::env(CLOCK_PORT)]} { - if { [info exists ::env(CTS_REPORT_TIMING)] && $::env(CTS_REPORT_TIMING) } { + if { [info exists ::env(CTS_REPORT_TIMING)] && $::env(CTS_REPORT_TIMING) } { set ::env(RUN_STANDALONE) 0 - source $::env(SCRIPTS_DIR)/openroad/sta.tcl - } + source $::env(SCRIPTS_DIR)/openroad/sta.tcl + } } else { puts "\[WARN\]: No CLOCK_PORT found. Skipping STA..." } diff --git a/scripts/openroad/diodes.tcl b/scripts/openroad/diodes.tcl index 1b4c1a34b..9c4a6cb65 100755 --- a/scripts/openroad/diodes.tcl +++ b/scripts/openroad/diodes.tcl @@ -16,7 +16,7 @@ # OPTIMIZED MODE: inserts a fake diode, to be replaced later with a real diode if necessary set input_def $::env(CURRENT_DEF) -set input_lef $::env(MERGED_LEF_UNPADDED) +set input_lef $::env(MERGED_LEF) set output_def $::env(SAVE_DEF) read_lef $input_lef @@ -90,11 +90,11 @@ set_placement_padding -masters $::env(DIODE_CELL) -left $::env(DIODE_PADDING) puts "\[INFO\]: Legalizing..." detailed_placement if { [info exists ::env(PL_OPTIMIZE_MIRRORING)] && $::env(PL_OPTIMIZE_MIRRORING) } { - optimize_mirroring + optimize_mirroring } write_def $::env(SAVE_DEF) if { [catch {check_placement -verbose} errmsg] } { - puts stderr $errmsg - exit 1 + puts stderr $errmsg + exit 1 } diff --git a/scripts/openroad/opendp.tcl b/scripts/openroad/dpl.tcl similarity index 78% rename from scripts/openroad/opendp.tcl rename to scripts/openroad/dpl.tcl index 0d940fc07..5004e11b5 100755 --- a/scripts/openroad/opendp.tcl +++ b/scripts/openroad/dpl.tcl @@ -1,4 +1,4 @@ -# Copyright 2020 Efabless Corporation +# Copyright 2020-2022 Efabless Corporation # # Licensed under the Apache License, Version 2.0 (the "License"); # you may not use this file except in compliance with the License. @@ -12,7 +12,7 @@ # See the License for the specific language governing permissions and # limitations under the License. -if {[catch {read_lef $::env(MERGED_LEF_UNPADDED)} errmsg]} { +if {[catch {read_lef $::env(MERGED_LEF)} errmsg]} { puts stderr $errmsg exit 1 } @@ -22,11 +22,7 @@ if {[catch {read_def $::env(CURRENT_DEF)} errmsg]} { exit 1 } -set_placement_padding -global -right $::env(CELL_PAD) - -if { $::env(CELL_PAD_EXCLUDE) != "" } { - set_placement_padding -masters $::env(CELL_PAD_EXCLUDE) -right 0 -left 0 -} +source $::env(SCRIPTS_DIR)/openroad/dpl_cell_pad.tcl detailed_placement\ -max_displacement [subst { $::env(PL_MAX_DISPLACEMENT_X) $::env(PL_MAX_DISPLACEMENT_Y) }] diff --git a/scripts/openroad/dpl_cell_pad.tcl b/scripts/openroad/dpl_cell_pad.tcl new file mode 100644 index 000000000..f56a45178 --- /dev/null +++ b/scripts/openroad/dpl_cell_pad.tcl @@ -0,0 +1,9 @@ +set cell_pad_value $::env(DPL_CELL_PADDING) + +set cell_pad_side [expr $cell_pad_value / 2] + +set_placement_padding -global -right $cell_pad_side -left $cell_pad_side + +if { $::env(CELL_PAD_EXCLUDE) != "" } { + set_placement_padding -masters $::env(CELL_PAD_EXCLUDE) -right 0 -left 0 +} \ No newline at end of file diff --git a/scripts/openroad/droute.tcl b/scripts/openroad/droute.tcl index b3cd04034..f1fc44adb 100755 --- a/scripts/openroad/droute.tcl +++ b/scripts/openroad/droute.tcl @@ -12,7 +12,7 @@ # See the License for the specific language governing permissions and # limitations under the License. -if {[catch {read_lef $::env(MERGED_LEF_UNPADDED)} errmsg]} { +if {[catch {read_lef $::env(MERGED_LEF)} errmsg]} { puts stderr $errmsg exit 1 } @@ -39,9 +39,8 @@ read_guides $::env(CURRENT_GUIDE) detailed_route\ -bottom_routing_layer $min_layer\ -top_routing_layer $max_layer\ - -output_guide $::env(TRITONROUTE_FILE_PREFIX).guide\ - -output_maze $::env(TRITONROUTE_FILE_PREFIX)_maze.log\ - -output_drc $::env(TRITONROUTE_RPT_PREFIX).drc\ + -output_maze $::env(_tmp_drt_file_prefix)_maze.log\ + -output_drc $::env(_tmp_drt_rpt_prefix).drc\ -droute_end_iter $::env(DRT_OPT_ITERS)\ -or_seed 42\ -verbose 1 diff --git a/scripts/openroad/eco.tcl b/scripts/openroad/eco.tcl index 6acce904b..39760ba3e 100644 --- a/scripts/openroad/eco.tcl +++ b/scripts/openroad/eco.tcl @@ -52,7 +52,7 @@ if { [info exists ::env(EXTRA_LIBS) ] } { } } -if {[catch {read_lef $::env(MERGED_LEF_UNPADDED)} errmsg]} { +if {[catch {read_lef $::env(MERGED_LEF)} errmsg]} { puts stderr $errmsg exit 1 } diff --git a/scripts/openroad/fill.tcl b/scripts/openroad/fill.tcl index 80d424c5c..12f88b6a0 100755 --- a/scripts/openroad/fill.tcl +++ b/scripts/openroad/fill.tcl @@ -12,7 +12,7 @@ # See the License for the specific language governing permissions and # limitations under the License. -if {[catch {read_lef $::env(MERGED_LEF_UNPADDED)} errmsg]} { +if {[catch {read_lef $::env(MERGED_LEF)} errmsg]} { puts stderr $errmsg exit 1 } diff --git a/scripts/openroad/floorplan.tcl b/scripts/openroad/floorplan.tcl index 950ad4105..b37129902 100755 --- a/scripts/openroad/floorplan.tcl +++ b/scripts/openroad/floorplan.tcl @@ -22,7 +22,7 @@ if { [info exists ::env(EXTRA_LIBS) ] } { } } -if {[catch {read_lef $::env(MERGED_LEF_UNPADDED)} errmsg]} { +if {[catch {read_lef $::env(MERGED_LEF)} errmsg]} { puts stderr $errmsg exit 1 } @@ -37,6 +37,9 @@ set top_margin [expr $::env(PLACE_SITE_HEIGHT) * $::env(TOP_MARGIN_MULT)] set left_margin [expr $::env(PLACE_SITE_WIDTH) * $::env(LEFT_MARGIN_MULT)] set right_margin [expr $::env(PLACE_SITE_WIDTH) * $::env(RIGHT_MARGIN_MULT)] +set arg_list [list] +lappend arg_list -site $::env(PLACE_SITE) + if {$::env(FP_SIZING) == "absolute"} { if { ! [info exists ::env(CORE_AREA)] } { set die_ll_x [lindex $::env(DIE_AREA) 0] @@ -54,43 +57,54 @@ if {$::env(FP_SIZING) == "absolute"} { puts "\[INFO] Using the set CORE_AREA; ignoring core margin parameters" } - initialize_floorplan \ - -die_area $::env(DIE_AREA) \ - -core_area $::env(CORE_AREA) \ - -site $::env(PLACE_SITE) - + lappend arg_list -die_area $::env(DIE_AREA) + lappend arg_list -core_area $::env(CORE_AREA) + lappend arg_list -site $::env(PLACE_SITE) } else { - initialize_floorplan \ - -utilization $::env(FP_CORE_UTIL) \ - -aspect_ratio $::env(FP_ASPECT_RATIO) \ - -core_space "$bottom_margin $top_margin $left_margin $right_margin" \ - -site $::env(PLACE_SITE) + lappend arg_list -utilization $::env(FP_CORE_UTIL) + lappend arg_list -aspect_ratio $::env(FP_ASPECT_RATIO) + lappend arg_list -core_space "$bottom_margin $top_margin $left_margin $right_margin" +} - set ::chip [[::ord::get_db] getChip] - set ::tech [[::ord::get_db] getTech] - set ::block [$::chip getBlock] - puts "\[INFO] Extracting DIE_AREA and CORE_AREA from the floorplan" - set ::env(DIE_AREA) [list] - set ::env(CORE_AREA) [list] +initialize_floorplan {*}$arg_list - set die_area [$::block getDieArea] - set core_area [$::block getCoreArea] +set tielo_cell [lindex $::env(SYNTH_TIELO_PORT) 0] +set tielo_port [lindex $::env(SYNTH_TIELO_PORT) 1] +set tiehi_cell [lindex $::env(SYNTH_TIEHI_PORT) 0] +set tiehi_port [lindex $::env(SYNTH_TIEHI_PORT) 1] - set die_area [list [$die_area xMin] [$die_area yMin] [$die_area xMax] [$die_area yMax]] - set core_area [list [$core_area xMin] [$core_area yMin] [$core_area xMax] [$core_area yMax]] +insert_tiecells "$tielo_cell/$tielo_port" -prefix "TIE_ZERO_" +insert_tiecells "$tiehi_cell/$tiehi_port" -prefix "TIE_ONE_" - set dbu [$tech getDbUnitsPerMicron] +set ::chip [[::ord::get_db] getChip] +set ::tech [[::ord::get_db] getTech] +set ::block [$::chip getBlock] - foreach coord $die_area { - lappend ::env(DIE_AREA) [expr {1.0 * $coord / $dbu}] - } - foreach coord $core_area { - lappend ::env(CORE_AREA) [expr {1.0 * $coord / $dbu}] - } +puts "\[INFO] Extracting DIE_AREA and CORE_AREA from the floorplan" +set ::env(DIE_AREA) [list] +set ::env(CORE_AREA) [list] + +set die_area [$::block getDieArea] +set core_area [$::block getCoreArea] - puts "\[INFO] Floorplanned on a die area of $::env(DIE_AREA) (microns). Saving to $::env(fp_report_prefix)_die_area.rpt." - puts "\[INFO] Floorplanned on a core area of $::env(CORE_AREA) (microns). Saving to $::env(fp_report_prefix)_core_area.rpt." +set die_area [list [$die_area xMin] [$die_area yMin] [$die_area xMax] [$die_area yMax]] +set core_area [list [$core_area xMin] [$core_area yMin] [$core_area xMax] [$core_area yMax]] + +set dbu [$tech getDbUnitsPerMicron] + +set ::env(DIE_AREA) {} +set ::env(CORE_AREA) {} + +foreach coord $die_area { + lappend ::env(DIE_AREA) [expr {1.0 * $coord / $dbu}] } +foreach coord $core_area { + lappend ::env(CORE_AREA) [expr {1.0 * $coord / $dbu}] +} + +puts "\[INFO] Floorplanned on a die area of $::env(DIE_AREA) (microns). Saving to $::env(fp_report_prefix)_die_area.rpt." +puts "\[INFO] Floorplanned on a core area of $::env(CORE_AREA) (microns). Saving to $::env(fp_report_prefix)_core_area.rpt." + source $::env(TRACKS_INFO_FILE_PROCESSED) set die_area_file [open $::env(fp_report_prefix)_die_area.rpt w] diff --git a/scripts/openroad/replace.tcl b/scripts/openroad/gpl.tcl similarity index 89% rename from scripts/openroad/replace.tcl rename to scripts/openroad/gpl.tcl index 3873f9b5b..08724c13e 100755 --- a/scripts/openroad/replace.tcl +++ b/scripts/openroad/gpl.tcl @@ -1,4 +1,4 @@ -# Copyright 2020 Efabless Corporation +# Copyright 2020-2022 Efabless Corporation # # Licensed under the Apache License, Version 2.0 (the "License"); # you may not use this file except in compliance with the License. @@ -22,7 +22,7 @@ if { [info exists ::env(EXTRA_LIBS) ] } { } } -if {[catch {read_lef $::env(MERGED_LEF_UNPADDED)} errmsg]} { +if {[catch {read_lef $::env(MERGED_LEF)} errmsg]} { puts stderr $errmsg exit 1 } @@ -55,7 +55,6 @@ if { ! $free_insts_flag } { set arg_list [list] -lappend arg_list -verbose_level 1 lappend arg_list -density $::env(PL_TARGET_DENSITY) if { $::env(PL_BASIC_PLACEMENT) } { @@ -82,11 +81,10 @@ if { $::env(PL_SKIP_INITIAL_PLACEMENT) && !$::env(PL_BASIC_PLACEMENT) } { lappend arg_list -skip_initial_place } -set_placement_padding -global -right $::env(CELL_PAD) +set cell_pad_side [expr $::env(GPL_CELL_PADDING) / 2] -if { $::env(CELL_PAD_EXCLUDE) != "" } { - set_placement_padding -masters $::env(CELL_PAD_EXCLUDE) -right 0 -left 0 -} +lappend arg_list -pad_right $cell_pad_side +lappend arg_list -pad_left $cell_pad_side global_placement {*}$arg_list diff --git a/scripts/openroad/groute.tcl b/scripts/openroad/groute.tcl index 1517c304d..c30604a07 100644 --- a/scripts/openroad/groute.tcl +++ b/scripts/openroad/groute.tcl @@ -22,7 +22,7 @@ if { [info exists ::env(EXTRA_LIBS) ] } { } } -if {[catch {read_lef $::env(MERGED_LEF_UNPADDED)} errmsg]} { +if {[catch {read_lef $::env(MERGED_LEF)} errmsg]} { puts stderr $errmsg exit 1 } diff --git a/scripts/openroad/gui.tcl b/scripts/openroad/gui.tcl index 922f4f10f..7fc821326 100644 --- a/scripts/openroad/gui.tcl +++ b/scripts/openroad/gui.tcl @@ -12,7 +12,7 @@ # See the License for the specific language governing permissions and # limitations under the License. -if {[catch {read_lef $::env(MERGED_LEF_UNPADDED)} errmsg]} { +if {[catch {read_lef $::env(MERGED_LEF)} errmsg]} { puts stderr $errmsg exit 1 } diff --git a/scripts/openroad/pdn.tcl b/scripts/openroad/pdn.tcl index 763c94d94..ad9d1ddc6 100644 --- a/scripts/openroad/pdn.tcl +++ b/scripts/openroad/pdn.tcl @@ -22,7 +22,7 @@ if { [info exists ::env(EXTRA_LIBS) ] } { } } -if {[catch {read_lef $::env(MERGED_LEF_UNPADDED)} errmsg]} { +if {[catch {read_lef $::env(MERGED_LEF)} errmsg]} { puts stderr $errmsg exit 1 } diff --git a/scripts/openroad/resizer.tcl b/scripts/openroad/resizer.tcl index b2cdc1265..e36c90987 100644 --- a/scripts/openroad/resizer.tcl +++ b/scripts/openroad/resizer.tcl @@ -17,12 +17,12 @@ foreach lib $::env(LIB_RESIZER_OPT) { } if { [info exists ::env(EXTRA_LIBS) ] } { - foreach lib $::env(EXTRA_LIBS) { - read_liberty $lib - } + foreach lib $::env(EXTRA_LIBS) { + read_liberty $lib + } } -if {[catch {read_lef $::env(MERGED_LEF_UNPADDED)} errmsg]} { +if {[catch {read_lef $::env(MERGED_LEF)} errmsg]} { puts stderr $errmsg exit 1 } @@ -36,7 +36,7 @@ read_sdc -echo $::env(CURRENT_SDC) unset_propagated_clock [all_clocks] # set rc values -source $::env(SCRIPTS_DIR)/openroad/set_rc.tcl +source $::env(SCRIPTS_DIR)/openroad/set_rc.tcl # estimate wire rc parasitics estimate_parasitics -placement @@ -55,11 +55,11 @@ if { [info exists ::env(PL_RESIZER_BUFFER_OUTPUT_PORTS)] && $::env(PL_RESIZER_BU # Resize if { [info exists ::env(PL_RESIZER_MAX_WIRE_LENGTH)] && $::env(PL_RESIZER_MAX_WIRE_LENGTH) } { repair_design -max_wire_length $::env(PL_RESIZER_MAX_WIRE_LENGTH) \ - -slew_margin $::env(PL_RESIZER_MAX_SLEW_MARGIN) \ - -cap_margin $::env(PL_RESIZER_MAX_CAP_MARGIN) + -slew_margin $::env(PL_RESIZER_MAX_SLEW_MARGIN) \ + -cap_margin $::env(PL_RESIZER_MAX_CAP_MARGIN) } else { repair_design -slew_margin $::env(PL_RESIZER_MAX_SLEW_MARGIN) \ - -cap_margin $::env(PL_RESIZER_MAX_CAP_MARGIN) + -cap_margin $::env(PL_RESIZER_MAX_CAP_MARGIN) } if { $::env(PL_RESIZER_REPAIR_TIE_FANOUT) == 1} { @@ -71,12 +71,10 @@ if { $::env(PL_RESIZER_REPAIR_TIE_FANOUT) == 1} { report_floating_nets -verbose -set_placement_padding -global -right $::env(CELL_PAD) +source $::env(SCRIPTS_DIR)/openroad/dpl_cell_pad.tcl -if { $::env(CELL_PAD_EXCLUDE) != "" } { - set_placement_padding -masters $::env(CELL_PAD_EXCLUDE) -right 0 -left 0 -} detailed_placement + if { [info exists ::env(PL_OPTIMIZE_MIRRORING)] && $::env(PL_OPTIMIZE_MIRRORING) } { optimize_mirroring } @@ -92,4 +90,4 @@ write_sdc $::env(SAVE_SDC) # Run post design optimizations STA estimate_parasitics -placement set ::env(RUN_STANDALONE) 0 -source $::env(SCRIPTS_DIR)/openroad/sta.tcl +source $::env(SCRIPTS_DIR)/openroad/sta.tcl diff --git a/scripts/openroad/resizer_routing_timing.tcl b/scripts/openroad/resizer_routing_timing.tcl index 6b6131a09..134f17a6f 100644 --- a/scripts/openroad/resizer_routing_timing.tcl +++ b/scripts/openroad/resizer_routing_timing.tcl @@ -22,7 +22,7 @@ if { [info exists ::env(EXTRA_LIBS) ] } { } } -if {[catch {read_lef $::env(MERGED_LEF_UNPADDED)} errmsg]} { +if {[catch {read_lef $::env(MERGED_LEF)} errmsg]} { puts stderr $errmsg exit 1 } @@ -73,8 +73,7 @@ if { $::env(GLB_RESIZER_ALLOW_SETUP_VIOS) == 1 } { } repair_timing {*}$arg_list -# set_placement_padding -global -right $::env(CELL_PAD) -# set_placement_padding -masters $::env(CELL_PAD_EXCLUDE) -right 0 -left 0 +source $::env(SCRIPTS_DIR)/openroad/dpl_cell_pad.tcl detailed_placement diff --git a/scripts/openroad/resizer_timing.tcl b/scripts/openroad/resizer_timing.tcl index 0e6278376..0d3b8caf1 100644 --- a/scripts/openroad/resizer_timing.tcl +++ b/scripts/openroad/resizer_timing.tcl @@ -17,12 +17,12 @@ foreach lib $::env(LIB_RESIZER_OPT) { } if { [info exists ::env(EXTRA_LIBS) ] } { - foreach lib $::env(EXTRA_LIBS) { - read_liberty $lib - } + foreach lib $::env(EXTRA_LIBS) { + read_liberty $lib + } } -if {[catch {read_lef $::env(MERGED_LEF_UNPADDED)} errmsg]} { +if {[catch {read_lef $::env(MERGED_LEF)} errmsg]} { puts stderr $errmsg exit 1 } @@ -40,7 +40,7 @@ if { [info exists ::env(DONT_USE_CELLS)] } { } # set rc values -source $::env(SCRIPTS_DIR)/openroad/set_rc.tcl +source $::env(SCRIPTS_DIR)/openroad/set_rc.tcl # CTS and detailed placement move instances, so update parastic estimates. # estimate wire rc parasitics @@ -61,10 +61,7 @@ if { $::env(PL_RESIZER_ALLOW_SETUP_VIOS) == 1 } { } repair_timing {*}$arg_list -set_placement_padding -global -right $::env(CELL_PAD) -if { $::env(CELL_PAD_EXCLUDE) != "" } { - set_placement_padding -masters $::env(CELL_PAD_EXCLUDE) -right 0 -left 0 -} +source $::env(SCRIPTS_DIR)/openroad/dpl_cell_pad.tcl detailed_placement if { [info exists ::env(PL_OPTIMIZE_MIRRORING)] && $::env(PL_OPTIMIZE_MIRRORING) } { @@ -82,4 +79,4 @@ write_sdc $::env(SAVE_SDC) # Run post timing optimizations STA estimate_parasitics -placement set ::env(RUN_STANDALONE) 0 -source $::env(SCRIPTS_DIR)/openroad/sta.tcl +source $::env(SCRIPTS_DIR)/openroad/sta.tcl diff --git a/scripts/openroad/tapcell.tcl b/scripts/openroad/tapcell.tcl index 99136a773..b4ff6e69f 100755 --- a/scripts/openroad/tapcell.tcl +++ b/scripts/openroad/tapcell.tcl @@ -12,7 +12,7 @@ # See the License for the specific language governing permissions and # limitations under the License. -if {[catch {read_lef $::env(MERGED_LEF_UNPADDED)} errmsg]} { +if {[catch {read_lef $::env(MERGED_LEF)} errmsg]} { puts stderr $errmsg exit 1 } diff --git a/scripts/openroad/write_verilog.tcl b/scripts/openroad/write_verilog.tcl index 748f043a0..91f7af033 100755 --- a/scripts/openroad/write_verilog.tcl +++ b/scripts/openroad/write_verilog.tcl @@ -10,7 +10,7 @@ # See the License for the specific language governing permissions and # limitations under the License. -if {[catch {read_lef $::env(MERGED_LEF_UNPADDED)} errmsg]} { +if {[catch {read_lef $::env(MERGED_LEF)} errmsg]} { puts stderr $errmsg exit 1 } diff --git a/scripts/report/report.py b/scripts/report/report.py index bd1ba620a..f24cf4b88 100755 --- a/scripts/report/report.py +++ b/scripts/report/report.py @@ -459,7 +459,7 @@ def re_get_last_capture(rx, string): vias = int(match) # TritonRoute DRC Extraction - tr_drc = Artifact(rp, "reports", "routing", "detailed.drc") + tr_drc = Artifact(rp, "reports", "routing", "drt.drc") tr_drc_content = tr_drc.get_content() other_violations = tr_violations diff --git a/scripts/tcl_commands/all.tcl b/scripts/tcl_commands/all.tcl index 73505c5f4..a29bbf735 100755 --- a/scripts/tcl_commands/all.tcl +++ b/scripts/tcl_commands/all.tcl @@ -89,6 +89,8 @@ proc prep_lefs {args} { set_if_unset arg_values(-env_var) MERGED_LEF set_if_unset arg_values(-corner) nom + set merged_lef_path $::env(TMP_DIR)/merged.$arg_values(-corner).lef + if { ![file exists $arg_values(-tech_lef)] } { if { $arg_values(-env_var) == "MERGED_LEF" } { puts_err "Nominal process corner '$arg_values(-tech_lef)' not found." @@ -114,36 +116,32 @@ proc prep_lefs {args} { puts_verbose "Merging LEF Files..." } - set mlu $::env(TMP_DIR)/merged.unpadded.$arg_values(-corner).lef - try_catch $::env(SCRIPTS_DIR)/mergeLef.py\ - -o $mlu\ + -o $merged_lef_path\ -i $arg_values(-tech_lef) $arg_values(-cell_lef)\ |& tee $::env(TERMINAL_OUTPUT) - set mlu_relative [relpath . $mlu] - puts_verbose "Created merged LEF without pads at '$mlu_relative'..." + set mlp_relative [relpath . $merged_lef_path] + puts_verbose "Created merged LEF without pads at '$mlp_relative'..." # Merged Extra Lefs (if they exist) if { [info exist ::env(EXTRA_LEFS)] } { try_catch $::env(SCRIPTS_DIR)/mergeLef.py\ - -o $mlu\ - -i $mlu {*}$::env(EXTRA_LEFS)\ + -o $merged_lef_path\ + -i $merged_lef_path {*}$::env(EXTRA_LEFS)\ |& tee $::env(TERMINAL_OUTPUT) - puts_verbose "Added extra lefs to '$mlu_relative'..." + puts_verbose "Added extra lefs to '$mlp_relative'..." } # Merge optimization TLEF/CLEF (if exists) if { [info exist ::env(STD_CELL_LIBRARY_OPT)] && $::env(STD_CELL_LIBRARY_OPT) != $::env(STD_CELL_LIBRARY) } { try_catch $::env(SCRIPTS_DIR)/mergeLef.py\ - -o $mlu\ - -i $mlu $::env(TECH_LEF_OPT) {*}$::env(CELLS_LEF_OPT) |& tee $::env(TERMINAL_OUTPUT) - puts_verbose "Added optimization library tech lef and cell lefs to '$mlu_relative'..." + -o $merged_lef_path\ + -i $merged_lef_path $::env(TECH_LEF_OPT) {*}$::env(CELLS_LEF_OPT) |& tee $::env(TERMINAL_OUTPUT) + puts_verbose "Added optimization library tech lef and cell lefs to '$mlp_relative'..." } # Merge pads (if GPIO_PADS_LEF exists) - set ml $::env(TMP_DIR)/merged.$arg_values(-corner).lef - set ml_relative [relpath . $ml] if { $::env(USE_GPIO_PADS) } { if { [info exists ::env(USE_GPIO_ROUTING_LEF)] && $::env(USE_GPIO_ROUTING_LEF)} { set ::env(GPIO_PADS_LEF) $::env(GPIO_PADS_LEF_CORE_SIDE) @@ -151,21 +149,12 @@ proc prep_lefs {args} { puts_verbose "Merging the following GPIO LEF views: $::env(GPIO_PADS_LEF)..." try_catch $::env(SCRIPTS_DIR)/mergeLef.py\ - -o $ml\ - -i $mlu {*}$::env(GPIO_PADS_LEF) - puts_verbose "Created '$ml_relative' with gpio pads." - } else { - file copy -force $mlu $ml - puts_verbose "Created '$ml_relative' unaltered." + -o $merged_lef_path\ + -i $merged_lef_path {*}$::env(GPIO_PADS_LEF) + puts_verbose "Created '$mlp_relative' with gpio pads." } - set ::env($arg_values(-env_var)_UNPADDED) $mlu - set ::env($arg_values(-env_var)) $ml - - if { ![info exists flags_map(-no_widen)] } { - widen_site_width - use_widened_lefs - } + set ::env($arg_values(-env_var)) $merged_lef_path } proc gen_exclude_list {args} { @@ -473,6 +462,14 @@ proc prep {args} { source $pdk_config source $scl_config + ## Hack: Update CELL_PAD in OpenLane for now while we wait for Open_PDKs to be usable + if { [info exists ::env(CELL_PAD)] } { + puts_verbose "\[HACK\] Updating PDK cell padding values..." + set ::env(GPL_CELL_PADDING) {0} + set ::env(DPL_CELL_PADDING) $::env(CELL_PAD) + unset ::env(CELL_PAD) + } + # Re-source/re-override to make sure it overrides any configurations from the previous two sources source $run_path/config_in.tcl if { [info exists arg_values(-override_env)] } { @@ -485,10 +482,12 @@ proc prep {args} { # DEPRECATED CONFIGS handle_deprecated_config LIB_MIN LIB_FASTEST; handle_deprecated_config LIB_MAX LIB_SLOWEST; - handle_deprecated_config CELL_PAD_EXECLUDE CELL_PAD_EXCLUDE; + handle_deprecated_config FP_HORIZONTAL_HALO FP_PDN_HORIZONTAL_HALO; handle_deprecated_config FP_VERTICAL_HALO FP_PDN_VERTICAL_HALO; + handle_deprecated_config CELL_PAD_EXECLUDE CELL_PAD_EXCLUDE; + handle_deprecated_config GLB_RT_ALLOW_CONGESTION GRT_ALLOW_CONGESTION; handle_deprecated_config GLB_RT_OVERFLOW_ITERS GRT_OVERFLOW_ITERS; handle_deprecated_config GLB_RT_ANT_ITERS GRT_ANT_ITERS; @@ -498,6 +497,8 @@ proc prep {args} { handle_deprecated_config GLB_RT_ADJUSTMENT GRT_ADJUSTMENT; handle_deprecated_config GLB_RT_MACRO_EXTENSION GRT_MACRO_EXTENSION; + handle_deprecated_config RUN_ROUTING_DETAILED RUN_DRT; # Why the hell is this even an option? + if [catch {exec python3 $::env(OPENLANE_ROOT)/dependencies/verify_versions.py} ::env(VCHECK_OUTPUT)] { if { $::env(QUIT_ON_MISMATCHES) == "1" } { @@ -894,7 +895,7 @@ proc heal_antenna_violators {args} { try_catch $::env(OPENROAD_BIN) -python $::env(SCRIPTS_DIR)/odbpy/diodes.py\ replace_fake\ --output $::env(routing_results)/$::env(DESIGN_NAME).def\ - --input-lef $::env(MERGED_LEF_UNPADDED)\ + --input-lef $::env(MERGED_LEF)\ --violations-file $::env(ANTENNA_VIOLATOR_LIST)\ --fake-diode $::env(FAKEDIODE_CELL)\ --true-diode $::env(DIODE_CELL)\ @@ -905,51 +906,6 @@ proc heal_antenna_violators {args} { } } -proc widen_site_width {args} { - set ::env(MERGED_LEF_UNPADDED_ORIGINAL) $::env(MERGED_LEF_UNPADDED) - set ::env(MERGED_LEF_ORIGINAL) $::env(MERGED_LEF) - - if { $::env(WIDEN_SITE) == 1 && $::env(WIDEN_SITE_IS_FACTOR) == 1 } { - set ::env(MERGED_LEF_UNPADDED_WIDENED) $::env(MERGED_LEF_UNPADDED) - set ::env(MERGED_LEF_WIDENED) $::env(MERGED_LEF) - } else { - puts_info "Widening Site Width..." - set ::env(MERGED_LEF_UNPADDED_WIDENED) $::env(TMP_DIR)/merged_unpadded_wider.lef - set ::env(MERGED_LEF_WIDENED) $::env(TMP_DIR)/merged_wider.lef - - set widen_args [list] - lappend widen_args --widen-value $::env(WIDEN_SITE) - if { $::env(WIDEN_SITE_IS_FACTOR) == 1 } { - lappend widen_args --factor - } - - try_catch $::env(OPENROAD_BIN) -python $::env(SCRIPTS_DIR)/odbpy/lefutil.py widen_site\ - {*}$widen_args\ - --output $::env(MERGED_LEF_UNPADDED_WIDENED)\ - $::env(MERGED_LEF_UNPADDED) - - try_catch $::env(OPENROAD_BIN) -python $::env(SCRIPTS_DIR)/odbpy/lefutil.py widen_site\ - {*}$widen_args\ - --output $::env(MERGED_LEF_WIDENED)\ - $::env(MERGED_LEF) - } -} - -proc use_widened_lefs {args} { - if { $::env(WIDEN_SITE) != 1 || $::env(WIDEN_SITE_IS_FACTOR) != 1 } { - set ::env(MERGED_LEF_UNPADDED) $::env(MERGED_LEF_UNPADDED_WIDENED) - set ::env(MERGED_LEF) $::env(MERGED_LEF_WIDENED) - } -} - -proc use_original_lefs {args} { - if { $::env(WIDEN_SITE) != 1 || $::env(WIDEN_SITE_IS_FACTOR) != 1 } { - set ::env(MERGED_LEF_UNPADDED) $::env(MERGED_LEF_UNPADDED_ORIGINAL) - set ::env(MERGED_LEF) $::env(MERGED_LEF_ORIGINAL) - } -} - - proc label_macro_pins {args} { TIMER::timer_start puts_info "Labeling macro pins..." @@ -1037,14 +993,9 @@ proc run_or_antenna_check {args} { puts_info "Running OpenROAD Antenna Rule Checker..." set log [index_file $::env(signoff_logs)/antenna.log] - - set antenna_checker_rpt [index_file $::env(signoff_reports)/antenna.rpt] - set antenna_violators_rpt [index_file $::env(signoff_reports)/antenna_violators.rpt] - - set ::env(_tmp_antenna_checker_rpt) $antenna_checker_rpt run_openroad_script $::env(SCRIPTS_DIR)/openroad/antenna_check.tcl -indexed_log $log - unset ::env(_tmp_antenna_checker_rpt) + set antenna_violators_rpt [index_file $::env(signoff_reports)/antenna_violators.rpt] try_catch $::env(OPENROAD_BIN) -python $::env(SCRIPTS_DIR)/extract_antenna_violators.py\ --output $antenna_violators_rpt\ $log diff --git a/scripts/tcl_commands/checkers.tcl b/scripts/tcl_commands/checkers.tcl index c7c408c4c..50f6eaf6a 100755 --- a/scripts/tcl_commands/checkers.tcl +++ b/scripts/tcl_commands/checkers.tcl @@ -220,8 +220,8 @@ proc check_macro_placer_num_solns {args} { } proc quit_on_tr_drc {args} { - if { [info exists ::env(QUIT_ON_TR_DRC)] && $::env(QUIT_ON_TR_DRC) } { - set checker [count_matches violation $::env(routing_reports)/detailed.drc] + if { $::env(QUIT_ON_TR_DRC) } { + set checker [count_matches violation $::env(routing_reports)/drt.drc] if { $checker != 0 } { puts_err "There are violations in the design after detailed routing." diff --git a/scripts/tcl_commands/cts.tcl b/scripts/tcl_commands/cts.tcl index 14ef44a46..4a2483e7a 100755 --- a/scripts/tcl_commands/cts.tcl +++ b/scripts/tcl_commands/cts.tcl @@ -55,11 +55,14 @@ proc run_cts {args} { } if {$::env(CLOCK_TREE_SYNTH) && !$::env(RUN_SIMPLE_CTS)} { - increment_index - puts_info "Running Clock Tree Synthesis..." set ::env(CURRENT_STAGE) cts + increment_index TIMER::timer_start + set cts_log [index_file $::env(cts_logs)/cts.log] + set cts_log_rel [relpath . $cts_log] + puts_info "Running Clock Tree Synthesis (logging to '$cts_log_rel')..." + if { ! [info exists ::env(CLOCK_NET)] } { set ::env(CLOCK_NET) $::env(CLOCK_PORT) } @@ -73,7 +76,9 @@ proc run_cts {args} { set ::env(LIB_CTS) $::env(cts_tmpfiles)/cts.lib trim_lib -input $::env(LIB_SYNTH_COMPLETE) -output $::env(LIB_CTS) -drc_exclude_only } - run_openroad_script $::env(SCRIPTS_DIR)/openroad/cts.tcl -indexed_log [index_file $::env(cts_logs)/cts.log] + + run_openroad_script $::env(SCRIPTS_DIR)/openroad/cts.tcl -indexed_log $cts_log + check_cts_clock_nets set ::env(cts_reports) $report_tag_holder TIMER::timer_stop @@ -89,10 +94,7 @@ proc run_cts {args} { scrot_klayout -layout $::env(CURRENT_DEF) -log $::env(cts_logs)/screenshot.log } elseif { $::env(RUN_SIMPLE_CTS) } { exec echo "Simple CTS was run earlier." >> [index_file $::env(cts_logs)/cts.log] - } else { - exec echo "SKIPPED!" >> [index_file $::env(cts_logs)/cts.log] } - } proc run_resizer_timing {args} { diff --git a/scripts/tcl_commands/eco.tcl b/scripts/tcl_commands/eco.tcl index 5117fad2d..de32e4d0e 100755 --- a/scripts/tcl_commands/eco.tcl +++ b/scripts/tcl_commands/eco.tcl @@ -72,7 +72,7 @@ proc eco_gen_buffer {args} { "insert_buffer" \ -s $::env(ECO_SKIP_PIN) \ {*}$sta_args \ - -l $::env(MERGED_LEF_UNPADDED) \ + -l $::env(MERGED_LEF) \ -o $::env(routing_results)/eco_fix.tcl \ $::env(CURRENT_DEF) } diff --git a/scripts/tcl_commands/floorplan.tcl b/scripts/tcl_commands/floorplan.tcl index 4ef973c37..fbb56aa67 100755 --- a/scripts/tcl_commands/floorplan.tcl +++ b/scripts/tcl_commands/floorplan.tcl @@ -197,7 +197,7 @@ proc place_contextualized_io {args} { set ::env(SAVE_DEF) [index_file $::env(floorplan_tmpfiles)/io.context.def] try_catch $::env(OPENROAD_BIN) -python $::env(SCRIPTS_DIR)/odbpy/contextualize.py \ --output $::env(SAVE_DEF) \ - --input-lef $::env(MERGED_LEF_UNPADDED) \ + --input-lef $::env(MERGED_LEF) \ --top-def $::env(placement_tmpfiles)/top_level.def \ --top-lef $::env(placement_tmpfiles)/top_level.lef \ $prev_def |& tee [index_file $::env(floorplan_logs)/io.contextualize.log] diff --git a/scripts/tcl_commands/placement.tcl b/scripts/tcl_commands/placement.tcl index ad598bddf..f4ca18f17 100755 --- a/scripts/tcl_commands/placement.tcl +++ b/scripts/tcl_commands/placement.tcl @@ -24,7 +24,8 @@ proc global_placement_or {args} { set ::env(PL_SKIP_INITIAL_PLACEMENT) 1 } - run_openroad_script $::env(SCRIPTS_DIR)/openroad/replace.tcl -indexed_log [index_file $::env(placement_logs)/global.log] + run_openroad_script $::env(SCRIPTS_DIR)/openroad/gpl.tcl -indexed_log [index_file $::env(placement_logs)/global.log] + # sometimes replace fails with a ZERO exit code; the following is a workaround # until the cause is found and fixed if { ! [file exists $::env(SAVE_DEF)] } { @@ -52,7 +53,7 @@ proc random_global_placement {args} { try_catch $::env(OPENROAD_BIN) -python $::env(SCRIPTS_DIR)/odbpy/random_place.py\ --output $::env(SAVE_DEF) \ - --input-lef $::env(MERGED_LEF_UNPADDED) \ + --input-lef $::env(MERGED_LEF) \ $::env(CURRENT_DEF) \ |& tee $::env(TERMINAL_OUTPUT) [index_file $::env(placement_logs)/global.log] @@ -75,7 +76,7 @@ proc detailed_placement_or {args} { set ::env(SAVE_DEF) $arg_values(-def) set log [index_file $arg_values(-log)] - run_openroad_script $::env(SCRIPTS_DIR)/openroad/opendp.tcl -indexed_log $log + run_openroad_script $::env(SCRIPTS_DIR)/openroad/dpl.tcl -indexed_log $log set_def $::env(SAVE_DEF) if {[catch {exec grep -q -i "fail" $log}] == 0} { @@ -174,9 +175,7 @@ proc run_placement {args} { run_resizer_design - if { [info exists ::env(DONT_BUFFER_PORTS) ]} { - remove_buffers - } + remove_buffers_from_ports detailed_placement_or -def $::env(placement_results)/$::env(DESIGN_NAME).def -log $::env(placement_logs)/detailed.log @@ -208,21 +207,29 @@ proc run_resizer_design {args} { } } -proc remove_buffers {args} { +proc remove_buffers_from_ports {args} { + # This is a workaround for some situations where the resizer would buffer + # analog ports. increment_index TIMER::timer_start - puts_info "Removing buffers..." + puts_info "Removing Buffers from Ports (If Applicable)..." + set fbasename [file rootname $::env(CURRENT_DEF)] - set ::env(SAVE_DEF) ${fbasename}.remove_buffers.def + set ::env(SAVE_DEF) ${fbasename}.buffers_removed.def try_catch $::env(OPENROAD_BIN) -python $::env(SCRIPTS_DIR)/odbpy/remove_buffers.py\ --output $::env(SAVE_DEF)\ - --input-lef $::env(MERGED_LEF)\ + --input-lef $::env(MERGED_LEF)\ --ports $::env(DONT_BUFFER_PORTS)\ $::env(CURRENT_DEF)\ - |& tee $::env(TERMINAL_OUTPUT) [index_file $::env(LOG_DIR)/placement/remove_buffers.log] + |& tee $::env(TERMINAL_OUTPUT) [index_file $::env(placement_logs)/remove_buffers_from_ports.log] - set_def $::env(SAVE_DEF)TIMER::timer_stop - exec echo "[TIMER::get_runtime]" | python3 $::env(SCRIPTS_DIR)/write_runtime.py "remove buffers - openlane" + set_def $::env(SAVE_DEF) + TIMER::timer_stop + exec echo "[TIMER::get_runtime]" | python3 $::env(SCRIPTS_DIR)/write_runtime.py "remove buffers from ports - openlane" +} + +proc remove_buffers {args} { + handle_deprecated_command remove_buffers_from_port } package provide openlane 0.9 diff --git a/scripts/tcl_commands/routing.tcl b/scripts/tcl_commands/routing.tcl index 4113ce34f..4a4c3f586 100755 --- a/scripts/tcl_commands/routing.tcl +++ b/scripts/tcl_commands/routing.tcl @@ -1,4 +1,4 @@ -# Copyright 2020-2021 Efabless Corporation +# Copyright 2020-2022 Efabless Corporation # ECO Flow Copyright 2021 The University of Michigan # # Licensed under the Apache License, Version 2.0 (the "License"); @@ -117,17 +117,43 @@ proc global_routing {args} { } proc detailed_routing_tritonroute {args} { - set ::env(TRITONROUTE_FILE_PREFIX) $::env(routing_tmpfiles)/detailed - set ::env(TRITONROUTE_RPT_PREFIX) $::env(routing_reports)/detailed + if { !$::env(RUN_DRT) } { + return + } + + if { $::env(DETAILED_ROUTER) == "drcu" } { + puts_warn "DR-CU is no longer supported. OpenROAD's detailed router will be used instead." + set ::env(DETAILED_ROUTER) "tritonroute" + } + + increment_index + TIMER::timer_start + + set drt_log [index_file $::env(routing_logs)/detailed.log] + set drt_log_relative [relpath . $drt_log] + + puts_info "Running Detailed Routing (logging to '$drt_log_relative')..." - run_openroad_script $::env(SCRIPTS_DIR)/openroad/droute.tcl -indexed_log [index_file $::env(routing_logs)/detailed.log] + set ::env(SAVE_DEF) $::env(routing_results)/$::env(DESIGN_NAME).def + + set ::env(_tmp_drt_file_prefix) $::env(routing_tmpfiles)/drt + set ::env(_tmp_drt_rpt_prefix) $::env(routing_reports)/drt + + run_openroad_script $::env(SCRIPTS_DIR)/openroad/droute.tcl -indexed_log $drt_log + + unset ::env(_tmp_drt_file_prefix) + unset ::env(_tmp_drt_rpt_prefix) try_catch $::env(OPENROAD_BIN) -python $::env(SCRIPTS_DIR)/drc_rosetta.py tr to_klayout \ - -o $::env(routing_reports)/detailed.klayout.xml \ + -o $::env(routing_reports)/drt.klayout.xml \ --design-name $::env(DESIGN_NAME) \ - $::env(routing_reports)/detailed.drc + $::env(routing_reports)/drt.drc quit_on_tr_drc + + TIMER::timer_stop + exec echo "[TIMER::get_runtime]" | python3 $::env(SCRIPTS_DIR)/write_runtime.py "detailed_routing - openroad" + set_def $::env(SAVE_DEF) } proc detailed_routing_drcu {args} { @@ -135,27 +161,7 @@ proc detailed_routing_drcu {args} { } proc detailed_routing {args} { - increment_index - TIMER::timer_start - puts_info "Running Detailed Routing..." - - set ::env(SAVE_DEF) $::env(routing_results)/$::env(DESIGN_NAME).def - - set tool "openroad" - if {$::env(RUN_ROUTING_DETAILED)} { - if { $::env(DETAILED_ROUTER) == "drcu" } { - puts_warn "DR-CU is no longer supported. OpenROAD tritonroute will be used instead." - set ::env(DETAILED_ROUTER) "tritonroute" - } - detailed_routing_tritonroute - - } else { - exec echo "SKIPPED!" >> [index_file $::env(routing_logs)/detailed.log] - } - - TIMER::timer_stop - exec echo "[TIMER::get_runtime]" | python3 $::env(SCRIPTS_DIR)/write_runtime.py "detailed_routing - $tool" - set_def $::env(SAVE_DEF) + detailed_routing_tritonroute {*}$args } proc ins_fill_cells_or {args} { @@ -173,10 +179,7 @@ proc ins_fill_cells {args} { set_def $::env(SAVE_DEF) TIMER::timer_stop exec echo "[TIMER::get_runtime]" | python3 $::env(SCRIPTS_DIR)/write_runtime.py "fill insertion - openroad" - } else { - exec echo "SKIPPED!" >> [index_file $::env(routing_logs)/fill.log] } - } proc power_routing {args} { @@ -343,7 +346,7 @@ proc run_spef_extraction {args} { parse_key_args "run_spef_extraction" args arg_values $options set_if_unset arg_values(-rcx_lib) $::env(LIB_SYNTH_COMPLETE) - set_if_unset arg_values(-rcx_lef) $::env(MERGED_LEF_UNPADDED) + set_if_unset arg_values(-rcx_lef) $::env(MERGED_LEF) set_if_unset arg_values(-rcx_rules) $::env(RCX_RULES) set ::env(RCX_LIB) $arg_values(-rcx_lib) @@ -392,8 +395,6 @@ proc run_routing {args} { } } - use_original_lefs - add_route_obs #legalize if not yet legalized diff --git a/scripts/utils/deflef_utils.tcl b/scripts/utils/deflef_utils.tcl index 221f07d7c..455328385 100755 --- a/scripts/utils/deflef_utils.tcl +++ b/scripts/utils/deflef_utils.tcl @@ -13,175 +13,168 @@ # limitations under the License. proc remove_empty_nets {args} { - set options {{-input required}} - set flags {} - parse_key_args "remove_empty_nets" args arg_values $options flags_map $flags + set options { + {-input required} + } + set flags {} + + parse_key_args "remove_empty_nets" args arg_values $options flags_map $flags try_catch $::env(OPENROAD_BIN) -python $::env(SCRIPTS_DIR)/odbpy/defutil.py remove_nets\ - --empty-only\ - --input-lef $::env(MERGED_LEF)\ - --output $arg_values(-input)\ - $arg_values(-input) + --empty-only\ + --input-lef $::env(MERGED_LEF)\ + --output $arg_values(-input)\ + $arg_values(-input) } proc resize_die {args} { - set options {\ - {-def required} \ - {-area required} - } - set flags {} - parse_key_args "resize_die" args arg_values $options flags_map $flags - - set llx [expr {[lindex $arg_values(-area) 0] * $::env(DEF_UNITS_PER_MICRON)}] - set lly [expr {[lindex $arg_values(-area) 1] * $::env(DEF_UNITS_PER_MICRON)}] - set urx [expr {[lindex $arg_values(-area) 2] * $::env(DEF_UNITS_PER_MICRON)}] - set ury [expr {[lindex $arg_values(-area) 3] * $::env(DEF_UNITS_PER_MICRON)}] - puts_info "Resizing Die to $arg_values(-area)" - try_catch sed -i -E "0,/^DIEAREA.*$/{s/^DIEAREA.*$/DIEAREA ( $llx $lly ) ( $urx $ury ) ;/}" $arg_values(-def) + set options { + {-def required} + {-area required} + } + set flags {} + parse_key_args "resize_die" args arg_values $options flags_map $flags + + set llx [expr {[lindex $arg_values(-area) 0] * $::env(DEF_UNITS_PER_MICRON)}] + set lly [expr {[lindex $arg_values(-area) 1] * $::env(DEF_UNITS_PER_MICRON)}] + set urx [expr {[lindex $arg_values(-area) 2] * $::env(DEF_UNITS_PER_MICRON)}] + set ury [expr {[lindex $arg_values(-area) 3] * $::env(DEF_UNITS_PER_MICRON)}] + + puts_info "Resizing Die to $arg_values(-area)" + try_catch sed -i -E "0,/^DIEAREA.*$/{s/^DIEAREA.*$/DIEAREA ( $llx $lly ) ( $urx $ury ) ;/}" $arg_values(-def) } proc get_instance_position {args} { - set options {\ - {-instance required}\ - {-def optional} - } - set flags {} - parse_key_args "get_instance_position" args arg_values $options flags_map $flags - - set instance $arg_values(-instance) - if { [info exists arg_values(-def)] } { - set def $arg_values(-def) - } elseif { [info exists ::env(CURRENT_DEF)] } { - set def $::env(CURRENT_DEF) - } else { - puts_err "No DEF specified" - return -code error - } - - puts $instance - set pos [exec sed -E -n "s/^\s*-\s+$instance.*\( (\[\[:digit:\]\]+) (\[\[:digit:\]\]+) \).*;$/\1 \2/p" $arg_values(-def)] - - return $pos + set options { + {-instance required} + {-def optional} + } + set flags {} + + parse_key_args "get_instance_position" args arg_values $options flags_map $flags + + set instance $arg_values(-instance) + if { [info exists arg_values(-def)] } { + set def $arg_values(-def) + } elseif { [info exists ::env(CURRENT_DEF)] } { + set def $::env(CURRENT_DEF) + } else { + puts_err "No DEF specified" + return -code error + } + + puts $instance + set pos [exec sed -E -n "s/^\s*-\s+$instance.*\( (\[\[:digit:\]\]+) (\[\[:digit:\]\]+)).*;$/\12/p" $arg_values(-def)] + + return $pos } proc add_lefs {args} { - set options {{-src required} \ - \ - } - set flags {} - parse_key_args "add_lefs" args arg_values $options flags_map $flags - puts_info "Merging $arg_values(-src)" - + set options { + {-src required} + } + set flags {} - if { $::env(WIDEN_SITE) == 1 && $::env(WIDEN_SITE_IS_FACTOR) == 1 } { + parse_key_args "add_lefs" args arg_values $options flags_map $flags + puts_info "Merging $arg_values(-src)" try_catch $::env(SCRIPTS_DIR)/mergeLef.py -i $::env(MERGED_LEF) {*}$arg_values(-src) -o $::env(MERGED_LEF).new - try_catch $::env(SCRIPTS_DIR)/mergeLef.py -i $::env(MERGED_LEF_UNPADDED) {*}$arg_values(-src) -o $::env(MERGED_LEF_UNPADDED).new - try_catch mv $::env(MERGED_LEF).new $::env(MERGED_LEF) - try_catch mv $::env(MERGED_LEF_UNPADDED).new $::env(MERGED_LEF_UNPADDED) - - } else { - # The original lef - try_catch $::env(SCRIPTS_DIR)/mergeLef.py -i $::env(MERGED_LEF_ORIGINAL) {*}$arg_values(-src) -o $::env(MERGED_LEF_ORIGINAL).new - try_catch $::env(SCRIPTS_DIR)/mergeLef.py -i $::env(MERGED_LEF_UNPADDED_ORIGINAL) {*}$arg_values(-src) -o $::env(MERGED_LEF_UNPADDED_ORIGINAL).new - - try_catch mv $::env(MERGED_LEF_ORIGINAL).new $::env(MERGED_LEF_ORIGINAL) - try_catch mv $::env(MERGED_LEF_UNPADDED_ORIGINAL).new $::env(MERGED_LEF_UNPADDED_ORIGINAL) - - # The modified lef - try_catch $::env(SCRIPTS_DIR)/mergeLef.py -i $::env(MERGED_LEF_WIDENED) {*}$arg_values(-src) -o $::env(MERGED_LEF_WIDENED).new - try_catch $::env(SCRIPTS_DIR)/mergeLef.py -i $::env(MERGED_LEF_UNPADDED_WIDENED) {*}$arg_values(-src) -o $::env(MERGED_LEF_UNPADDED_WIDENED).new - - try_catch mv $::env(MERGED_LEF_WIDENED).new $::env(MERGED_LEF_WIDENED) - try_catch mv $::env(MERGED_LEF_UNPADDED_WIDENED).new $::env(MERGED_LEF_UNPADDED_WIDENED) - } } proc merge_components {args} { - set options {{-input1 required} \ - {-input2 required} \ - {-output required} \ - } - set flags {} - parse_key_args "merge_components" args arg_values $options flags_map $flags - try_catch $::env(OPENROAD_BIN) -python $::env(SCRIPTS_DIR)/odbpy/defutil.py merge_components\ - --input-lef $::env(MERGED_LEF)\ - --output $arg_values(-output)\ - $arg_values(-input1) $arg_values(-input2) + set options { + {-input1 required} + {-input2 required} + {-output required} + } + set flags {} + parse_key_args "merge_components" args arg_values $options flags_map $flags + try_catch $::env(OPENROAD_BIN) -python $::env(SCRIPTS_DIR)/odbpy/defutil.py merge_components\ + --input-lef $::env(MERGED_LEF)\ + --output $arg_values(-output)\ + $arg_values(-input1) $arg_values(-input2) } proc move_pins {args} { - # To be precise, this REPLACES pins in to with the ones in from. - set options {{-from required} \ - {-to required} \ - } - set flags {} - parse_key_args "move_pins" args arg_values $options flags_map $flags - try_catch $::env(OPENROAD_BIN) -python $::env(SCRIPTS_DIR)/odbpy/defutil.py replace_pins\ - --output $arg_values(-to)\ - --input-lef $::env(MERGED_LEF)\ - $arg_values(-from) $arg_values(-to) + # To be precise, this REPLACES pins in to with the ones in from. + set options { + {-from required} + {-to required} + } + set flags {} + parse_key_args "move_pins" args arg_values $options flags_map $flags + try_catch $::env(OPENROAD_BIN) -python $::env(SCRIPTS_DIR)/odbpy/defutil.py replace_pins\ + --output $arg_values(-to)\ + --input-lef $::env(MERGED_LEF)\ + $arg_values(-from) $arg_values(-to) } proc zeroize_origin_lef {args} { - set options {{-file required} \ - } - set flags {} - parse_key_args "zeroize_origin_lef" args arg_values $options flags_map $flags - exec cp $arg_values(-file) $arg_values(-file).original - try_catch $::env(OPENROAD_BIN) -python $::env(SCRIPTS_DIR)/odbpy/lefutil.py zeroize_origin\ - --output $arg_values(-file).zeroized\ - $arg_values(-file) - exec mv $arg_values(-file).zeroized $arg_values(-file) + set options { + {-file required} + } + set flags {} + parse_key_args "zeroize_origin_lef" args arg_values $options flags_map $flags + exec cp $arg_values(-file) $arg_values(-file).original + try_catch $::env(OPENROAD_BIN) -python $::env(SCRIPTS_DIR)/odbpy/lefutil.py zeroize_origin\ + --output $arg_values(-file).zeroized\ + $arg_values(-file) + exec mv $arg_values(-file).zeroized $arg_values(-file) } proc remove_pins {args} { - set options {{-input required}} - set flags {} - parse_key_args "remove_pins" args arg_values $options flags_map $flags - try_catch $::env(OPENROAD_BIN) -python $::env(SCRIPTS_DIR)/odbpy/defutil.py remove_pins\ - --input-lef $::env(MERGED_LEF)\ - --output $arg_values(-input)\ - $arg_values(-input) + set options { + {-input required} + } + set flags {} + parse_key_args "remove_pins" args arg_values $options flags_map $flags + try_catch $::env(OPENROAD_BIN) -python $::env(SCRIPTS_DIR)/odbpy/defutil.py remove_pins\ + --input-lef $::env(MERGED_LEF)\ + --output $arg_values(-input)\ + $arg_values(-input) } proc remove_nets {args} { - set options {{-input required}} - set flags {} - parse_key_args "remove_nets" args arg_values $options flags_map $flags - try_catch $::env(OPENROAD_BIN) -python $::env(SCRIPTS_DIR)/odbpy/defutil.py remove_nets\ - --input-lef $::env(MERGED_LEF)\ - --output $arg_values(-input)\ - $arg_values(-input) + set options { + {-input required} + } + set flags {} + parse_key_args "remove_nets" args arg_values $options flags_map $flags + try_catch $::env(OPENROAD_BIN) -python $::env(SCRIPTS_DIR)/odbpy/defutil.py remove_nets\ + --input-lef $::env(MERGED_LEF)\ + --output $arg_values(-input)\ + $arg_values(-input) } proc remove_components {args} { - set options {{-input required}} - set flags {} - parse_key_args "remove_components" args arg_values $options flags_map $flags - try_catch $::env(OPENROAD_BIN) -python $::env(SCRIPTS_DIR)/odbpy/defutil.py remove_components\ - --input-lef $::env(MERGED_LEF)\ - --output $arg_values(-input)\ - $arg_values(-input) + set options { + {-input required} + } + set flags {} + parse_key_args "remove_components" args arg_values $options flags_map $flags + try_catch $::env(OPENROAD_BIN) -python $::env(SCRIPTS_DIR)/odbpy/defutil.py remove_components\ + --input-lef $::env(MERGED_LEF)\ + --output $arg_values(-input)\ + $arg_values(-input) } proc remove_component {args} { - set options { - {-input required} - {-instance_name required} - } - set flags {} - parse_key_args "remove_component" args arg_values $options flags_map $flags - try_catch $::env(OPENROAD_BIN) -python $::env(SCRIPTS_DIR)/odbpy/defutil.py remove_components\ - --input-lef $::env(MERGED_LEF)\ - --instance-name $arg_values(-instance_name) --not-rx\ - --output $arg_values(-input)\ - $arg_values(-input) + set options { + {-input required} + {-instance_name required} + } + set flags {} + parse_key_args "remove_component" args arg_values $options flags_map $flags + try_catch $::env(OPENROAD_BIN) -python $::env(SCRIPTS_DIR)/odbpy/defutil.py remove_components\ + --input-lef $::env(MERGED_LEF)\ + --instance-name $arg_values(-instance_name) --not-rx\ + --output $arg_values(-input)\ + $arg_values(-input) } package provide openlane_utils 0.9