Skip to content

Commit 3284670

Browse files
GaislerAleks-Daniel Jakimenko-Aleksejev
authored andcommitted
grlib-gpl-2025.2-b4298
----------------------- Release 2025.2-b4298 --------------------------- 2025-06-30 NOEL-V updates: - Update interrupt port definition (new record type) - Prevent H-extension to be enabled for RV32 (currently not supported) - MC standard configuration has been updated to increase L1 to 4-way and remove double-precision floating-point (only supporting F extension) - The double-trap extension (smdbltr and ssdbltrp) have been updated version 1.0 - Update to CSR handling (illegal checks, and exceptions) - Added shlcofideleg and smcdeleg extension - Added SVADU extension - PLIC now supports more then 31 interrupt sources - Custom FEATURE CSR updated (bits has been redefined) - Added support for Svnapot extension and Sv48 - Update illegal checks for CBO instructions - APLIC: base_ppn field was not shifted 12 bits to the left to calculate the IMSIC base address. - G-stage access fault was raised instead of page fault for some cases - Fixed issue with PMA/PMP check during page-walk - Fix to not update m/htinst on shadowstack push/pop exceptions - Fixed incorrect fault for CFI landing pad faults - Executing ssamoswap in machine mode was not handled correctly - Update Smstateen extension to incorporate the bits p1p13 & C. - Modify write behavior of bits in hstateen/sstateen, if mstateen.bit is 0 then hstateen.bit/sstateen.bit is no longer writeable and previous bits are preserved. - Update envcfg to incorporate read-only behavior for bits not set in higher privilege mode envcfg. Example) if menvcfg.sse = 0 => RO (s/h)envcfg.sse. Previous bits preserved. - Update CBO and ssamoswap exception behavior, these instructions could previously throw a virtual instruction exception even if its menvcfg bit was 0. 2025-06-25 TECHMAP: Add UltraScale/UltraScale-Plus mapping for DDR_OREG 2025-06-24 AHB2AXIB: new generic to support AMBA address widths of more than 32 bits (not compatible yet with PnP scanning). 2025-06-17 APBUART: Added break character support - Increased APBUART revision to 2 - Updated the core to support transmission and detection of break characters within a configurable range of 10-16 zeroes. Break operation is managed via the control register of the apb bus. - Enabled break handling in loop-back operation - Added a capability register that reports the values for certain RTL instantiation generics, including FIFO size and flow - The debug bit in the control register is now read-only. Read/write access for debug functionality has been relocated to the FIFO debug control register. 2025-06-16 GRGPIO: Added set and clear register support to facilitate atomic accesses to selected registers. 2025-06-10 BCC: BCC1 to BCC2 migration - Affects systest in designs directory 2025-06-09 LEON5: Fix issue on LDSTUB/LDSTUBA opcode check 2025-05-23 LEON5: DTCM Fixes - Fix an issue where ASI accesses where triggering unwanted dtcmhit. 2025-04-16 AXI2AHB: fix simultaneous read and write accesses 2025-04-03 GRDMAC2: Incorrect calculation of the burst_chop_mask generic value in generic_bm instantiation is fixed. generic_bm_ahb instantiation in the grdmac2 has the default value 1024 for burst_chop_mask generic.
1 parent 8e997e1 commit 3284670

File tree

387 files changed

+39570
-46173
lines changed

Some content is hidden

Large Commits have some content hidden by default. Use the searchbox below for content that may be hidden.

387 files changed

+39570
-46173
lines changed

README.md

Lines changed: 1 addition & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -11,6 +11,7 @@ is available. This repository is an attempt to fix the situation.
1111

1212
| Release name | Available? |
1313
|--------------------------|------------|
14+
| grlib-gpl-2025.2-b4298 | Yes |
1415
| grlib-gpl-2025.1-b4296 | Yes |
1516
| grlib-gpl-2024.4-b4295 | Yes |
1617
| grlib-gpl-2024.2-b4293 | Yes |

bin/Makefile

Lines changed: 2 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -1,5 +1,5 @@
1-
VER=$(VNAME)2025.1
2-
BID=4296
1+
VER=$(VNAME)2025.2
2+
BID=4298
33
CC=gcc
44
SED=sed
55

Lines changed: 7 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,7 @@
1+
{
2+
"HF_OSC_EN": "DISABLED",
3+
"LF_OUTPUT_EN": "DISABLED",
4+
"SEDCLK_EN": 1,
5+
"SEDSEC_CLK_FREQ": 1.8,
6+
"LMMI_CLK_EN": "DISABLED"
7+
}
Lines changed: 8 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,8 @@
1+
{
2+
"HF_OSC_EN": "ENABLED",
3+
"HF_CLK_FREQ": 50.0,
4+
"LF_OUTPUT_EN": "DISABLED",
5+
"SEDCLK_EN": 1,
6+
"SEDSEC_CLK_FREQ": 50.0,
7+
"LMMI_CLK_EN": "DISABLED"
8+
}
Lines changed: 7 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,7 @@
1+
{
2+
"HF_OSC_EN": "DISABLED",
3+
"LF_OUTPUT_EN": "DISABLED",
4+
"SEDCLK_EN": 1,
5+
"SEDSEC_CLK_FREQ": 25.0,
6+
"LMMI_CLK_EN": "DISABLED"
7+
}
Lines changed: 7 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,7 @@
1+
{
2+
"HF_OSC_EN": "DISABLED",
3+
"LF_OUTPUT_EN": "DISABLED",
4+
"SEDCLK_EN": 1,
5+
"SEDSEC_CLK_FREQ": 50.0,
6+
"LMMI_CLK_EN": "DISABLED"
7+
}
Lines changed: 1 addition & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1 @@
1+
{}
Lines changed: 21 additions & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -1,10 +1,30 @@
1+
#include <report.h>
2+
#include <gpio.h>
3+
#include <spictrl.h>
14

2-
main()
5+
int __bcc_cfg_skip_clear_bss;
36

7+
static const uintptr_t GPIO1_REGS = 0x80000a00u;
8+
static const uintptr_t GPIO1_PIRQ = 6;
9+
10+
static const uintptr_t SPICTRL0_REGS = 0x80000600u;
11+
static const uintptr_t SPICTRL0_PIRQ = 5;
12+
13+
int main(void)
414
{
515
report_start();
616

17+
gpio_test(GPIO1_REGS);
18+
gpio_test_irq(GPIO1_REGS, GPIO1_PIRQ);
19+
20+
spictrl_test(SPICTRL0_REGS, 0);
21+
spictrl_test(SPICTRL0_REGS, 1);
22+
spictrl_irqtest(SPICTRL0_REGS, SPICTRL0_PIRQ);
23+
724
base_test();
825

926
report_end();
27+
28+
return 0;
1029
}
30+

designs/leon3-digilent-arty-a7/testbench.vhd

Lines changed: 14 additions & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -73,6 +73,11 @@ architecture behav of testbench is
7373
signal cpu_resetn : std_ulogic;
7474
-- Switches
7575
signal sw : std_logic_vector(3 downto 0);
76+
-- PMOD
77+
signal jabcd : std_logic_vector(31 downto 0);
78+
-- Arduino/ChipKit SPI
79+
signal ck_miso : std_ulogic;
80+
signal ck_mosi : std_ulogic;
7681
-- USB-RS232 interface
7782
signal uart_tx_in : std_logic;
7883
signal uart_rx_out : std_logic;
@@ -128,6 +133,9 @@ begin
128133
-- dsui.enable
129134
sw(3) <= '1';
130135

136+
jabcd <= (others => 'H');
137+
ck_miso <= ck_mosi;
138+
131139
d3 : entity work.leon3mp
132140
generic map (fabtech, memtech, padtech, clktech, disas, dbguart, pclow,
133141
SIM_BYPASS_INIT_CAL, SIMULATION, USE_MIG_INTERFACE_MODEL)
@@ -136,7 +144,12 @@ begin
136144
ck_rst => ck_rst,
137145
btn => btn,
138146
sw => sw,
139-
ck_miso => '1',
147+
ja => jabcd( 7 downto 0),
148+
jb => jabcd(15 downto 8),
149+
jc => jabcd(23 downto 16),
150+
jd => jabcd(31 downto 24),
151+
ck_miso => ck_miso,
152+
ck_mosi => ck_mosi,
140153
uart_txd_in => '1',
141154
uart_rxd_out => open,
142155
qspi_sck => qspi_sck,

designs/leon3-gr-xc3s-1500/Makefile

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -25,7 +25,7 @@ TECHLIBS = unisim
2525
LIBSKIP = core1553bbc core1553brm core1553brt gr1553 corePCIF \
2626
tmtc openchip hynix cypress ihp gsi fmf spansion
2727
DIRSKIP = b1553 pci/pcif leon2 leon2ft crypto satcan pci leon3ft ambatest ddr \
28-
leon4v0 l2cache/v2 l2cache/v3 gr1553b iommu ascs slink pwm \
28+
leon4v0 l2cache/v2 l2cache/v3 l2cache/str gr1553b iommu ascs slink pwm \
2929
ge_1000baseX canfd \
3030
leon5v0 leon5v0/blockred grfpu5 noelv noelv/subsys riscv
3131
FILESKIP = grcan.vhd adapters/sgmii.vhd \

0 commit comments

Comments
 (0)