Skip to content

Commit 9593c77

Browse files
committed
shift and add multiplier
1 parent e82de64 commit 9593c77

File tree

4 files changed

+45
-0
lines changed

4 files changed

+45
-0
lines changed
Lines changed: 26 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,26 @@
1+
library ieee;
2+
use ieee.std_logic_1164.all;
3+
4+
5+
entity datapath is
6+
port (
7+
clk : in std_logic;
8+
clear_p : in std_logic;
9+
load_p : in std_logic;
10+
load_b : in std_logic;
11+
load_a : in std_logic;
12+
shift_a : in std_logic;
13+
msb_out : in std_logic;
14+
lsb_out : in std_logic;
15+
sel_num : in std_logic;
16+
data : inout std_logic_vector(7 downto 0);
17+
a0 : out std_logic
18+
);
19+
end entity;
20+
21+
22+
architecture rtl of datapath is
23+
24+
begin
25+
26+
end architecture;
Lines changed: 14 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,14 @@
1+
library ieee;
2+
use ieee.std_logic_1164.all;
3+
4+
5+
entity shift_and_add_multiplier is
6+
7+
end entity;
8+
9+
10+
architecture rtl of shift_and_add_multiplier is
11+
12+
begin
13+
14+
end architecture;

components-and-cores/arithmetic/sequential-multiplier/shift_and_add_multiplier_tb.vhd

Whitespace-only changes.
Lines changed: 5 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,5 @@
1+
builder = msim
2+
target_dir = .build
3+
4+
vhdl work shift_and_add_multiplier.vhd -2008
5+
vhdl work shift_and_add_multiplier_tb.vhd -2008

0 commit comments

Comments
 (0)