-
Notifications
You must be signed in to change notification settings - Fork 64
/
Copy pathahb_vseqr.svh
31 lines (21 loc) · 851 Bytes
/
ahb_vseqr.svh
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
/**********************************************************
Start Date: 11 Sept 2015
Finish Date: 11 Sept 2015
Author: Mayur Kubavat
Module: AHB Virtual Sequencer
Filename: ahb_vseqr.svh
**********************************************************/
class ahb_vseqr extends uvm_sequencer#(uvm_sequence_item);
`uvm_component_utils(ahb_vseqr)
reset_seqr reset_seqr_h;
ahb_mseqr mseqr_h;
ahb_sseqr sseqr_h;
//-------------------------------------------------
// Methods
//-------------------------------------------------
extern function new(string name = "ahb_vseqr", uvm_component parent);
endclass: ahb_vseqr
//Constructor
function ahb_vseqr::new(string name = "ahb_vseqr", uvm_component parent);
super.new(name, parent);
endfunction