diff --git a/lib/ain-ocean/src/network.rs b/lib/ain-ocean/src/network.rs index bffb7c65e2..c0488aeff3 100644 --- a/lib/ain-ocean/src/network.rs +++ b/lib/ain-ocean/src/network.rs @@ -43,7 +43,7 @@ impl std::str::FromStr for Network { match s { "mainnet" | "main" => Ok(Self::Mainnet), "mocknet" => Ok(Self::Mocknet), - "testnet" => Ok(Self::Testnet), + "testnet" | "test" => Ok(Self::Testnet), "regtest" => Ok(Self::Regtest), "devnet" => Ok(Self::Devnet), "changi" => Ok(Self::Changi),