-
Notifications
You must be signed in to change notification settings - Fork 2
/
regs_pkg.vhd
55 lines (43 loc) · 1.4 KB
/
regs_pkg.vhd
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
-- Package File Template
--
-- Purpose: This package defines supplemental types, subtypes,
-- constants, and functions
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.ALL;
package regs_pkg is
component rdff1 port(
clk,reset: in std_logic;
d : in std_logic;
q : buffer std_logic);
end component;
component rdff
generic (size : integer :=2);
port (clk,reset: in std_logic;
d : in std_logic_vector(size-1 downto 0);
q : buffer std_logic_vector(size-1 downto 0));
end component;
component rreg1 port(
clk,reset,load: in std_logic;
d : in std_logic;
q : buffer std_logic);
end component;
component rreg
generic(size : integer :=2);
port (clk, reset, load: in std_logic;
d : in std_logic_vector(size-1 downto 0);
q : buffer std_logic_vector(size-1 downto 0));
end component;
component reg generic (size: integer :=2);
port(clk,load : in std_logic;
rst,pst : in std_logic;
d : in std_logic_vector(size-1 downto 0);
q : buffer std_logic_vector(size-1 downto 0));
end component;
component ureg
generic (size : integer :=2);
port (clk,reset,load : in std_logic;
d : in unsigned(size-1 downto 0);
q : buffer unsigned (size-1 downto 0));
end component;
end regs_pkg;